Personal tools

Difference between revisions of "PULP"

From iis-projects

Jump to: navigation, search
(28 intermediate revisions by 4 users not shown)
Line 1: Line 1:
 
__NOTOC__
 
__NOTOC__
[[File:pulp_v3_sml.jpg | thumb | 400px | Die micrograph of [http://asic.ethz.ch/2015/Pulpv3.html Pulp v3].]]
+
[[File:pulp_v3_sml.jpg | thumb | 400px | Layout of [http://asic.ethz.ch/2015/Pulpv3.html Pulp v3].]]
  
  
Line 9: Line 9:
 
===PULP - An Open Parallel Ultra-Low-Power Processing-Platform===
 
===PULP - An Open Parallel Ultra-Low-Power Processing-Platform===
 
[[File:pulp_block_diag.png|thumb|400px|Basic block diagram of a PULP system.]]
 
[[File:pulp_block_diag.png|thumb|400px|Basic block diagram of a PULP system.]]
This is a joint project between the [http://www.iis.ee.ethz.ch Integrated Systems laboratory (IIS)] of ETH Zurich (IIS) and the [http://www.dei.unibo.it/en/research/research-facilities/Labs/eess-energy-efficient-embedded-systems Energy-efficient Embedded Systems] (EEES) group of UNIBO to develop an open, scalable Hardware and Software research platform with the goal to break the pJ/op barrier within a power envelope of a few mW.
+
This is a joint project between the [http://www.iis.ee.ethz.ch Integrated Systems Laboratory (IIS)] of ETH Zurich and the [http://www.dei.unibo.it/en/research/research-facilities/Labs/eess-energy-efficient-embedded-systems Energy-efficient Embedded Systems] (EEES) group of UNIBO to develop an open, scalable Hardware and Software research platform with the goal to break the pJ/op barrier within a power envelope of a few mW.
  
 
The PULP platform is a multi-core platform achieving leading-edge energy-efficiency and featuring widely-tunable performance. The aim of PULP is to satisfy the computational demands of IoT applications requiring flexible processing of data streams generated by multiple sensors, such as accelerometers, low-resolution cameras, microphone arrays, vital signs monitors. As opposed to single-core MCUs, a parallel ultra-low-power programmable architecture allows to meet  the computational requirements of these applications, without exceeding the power envelope of a few mW typical of miniaturized, battery-powered systems. Moreover, OpenMP, OpenCL and OpenVX are supported on PULP, enabling agile application porting, development, performance tuning and debugging.
 
The PULP platform is a multi-core platform achieving leading-edge energy-efficiency and featuring widely-tunable performance. The aim of PULP is to satisfy the computational demands of IoT applications requiring flexible processing of data streams generated by multiple sensors, such as accelerometers, low-resolution cameras, microphone arrays, vital signs monitors. As opposed to single-core MCUs, a parallel ultra-low-power programmable architecture allows to meet  the computational requirements of these applications, without exceeding the power envelope of a few mW typical of miniaturized, battery-powered systems. Moreover, OpenMP, OpenCL and OpenVX are supported on PULP, enabling agile application porting, development, performance tuning and debugging.
Line 18: Line 18:
 
''....more to follow.... stay tuned!''
 
''....more to follow.... stay tuned!''
  
 +
See also:
 +
* [http://www.pulp-platform.org Official PULP Project Website]
 +
* [https://github.com/pulp-platform/pulpino PULPino on GitHub]
  
  
 
=== PULPino - A Small Single-Core System Based on PULP ===
 
=== PULPino - A Small Single-Core System Based on PULP ===
 
PULPino is an open-source microcontroller like system, based on a small 32-bit
 
PULPino is an open-source microcontroller like system, based on a small 32-bit
RISC-V core that was developed at ETH Zurich. The core has an IPC close to 1, full
+
RISC-V core (Ri5CY) that was developed at ETH Zurich. The core has an IPC close to 1, full
 
support for the base integer instruction set (RV32I), compressed instructions
 
support for the base integer instruction set (RV32I), compressed instructions
 
(RV32C) and partial support for the multiplication instruction set
 
(RV32C) and partial support for the multiplication instruction set
Line 33: Line 36:
 
wakes up the core in case an event/interrupt arrives.
 
wakes up the core in case an event/interrupt arrives.
  
The PULPino platform is available for RTL simulation, FPGA and will be taped
+
The PULPino platform is available for RTL simulation, FPGA and the first ASIC
out as an ASIC in UMC 65nm by the end of January. It has full debug support on
+
(called [http://asic.ethz.ch/2015/Imperio.html Imperio]) has been taped out in January 2016.  
all targets. In addition we support extended profiling with source code
+
It has full debug support on all targets. In addition we support extended profiling with source code
 
annotated execution times through KCacheGrind in RTL simulations.
 
annotated execution times through KCacheGrind in RTL simulations.
 +
 +
In August 2017, new cores and extensions were released for PULPino. An FPU can now optionally be added to Ri5CY supporting (RV32M). There is now also a 2-stage pipelined core called Zero-riscy optimized for small area. Zero-riscy support RV32ICM extensions, but does not have the custom extensions that RI5CY has. This core can also be configured to have only 16 registers, conforming to the (RV32E) for even smaller area. This is configuration is called Micro-riscy.
  
 
PULPino is based on IP blocks from the PULP project.  
 
PULPino is based on IP blocks from the PULP project.  
Line 42: Line 47:
  
 
See also:  
 
See also:  
* [http://riscv.org/workshop-jan2016/Wed1315%20PULP%20riscv3_noanim.pdf Slides] from RISC-V Workshop, 2016.
+
* [http://www.pulp-platform.org Official PULP Project Website]
 +
* [https://github.com/pulp-platform/pulpino PULPino on GitHub]
 +
* [http://riscv.org/workshop-jan2016/Wed1315%20PULP%20riscv3_noanim.pdf Slides] / [[Media:pulpino_poster_riscv2015.pdf|poster]] from RISC-V Workshop, 2016.
 
* [https://www.dropbox.com/s/vtrgqnc7dm7gbx2/Andreas%20Traber%20-%20pulpino.pdf?dl=0 Slides] from ORCONF, 2015.
 
* [https://www.dropbox.com/s/vtrgqnc7dm7gbx2/Andreas%20Traber%20-%20pulpino.pdf?dl=0 Slides] from ORCONF, 2015.
 
  
 
===Related Available Student Projects===
 
===Related Available Student Projects===
Line 62: Line 68:
  
 
====65nm====
 
====65nm====
* [http://asic.ethz.ch/2015/Mia_Wallace.html Mia Wallace] Third generation of PULP platform, HW accelerators, body biasing FLLs, 256 Kbyte memory (65nm)
+
* [http://asic.ethz.ch/2015/Mia_Wallace.html Mia Wallace] Third generation of PULP platform, HW accelerators, body biasing FLLs, 256 kByte memory
* [http://asic.ethz.ch/2014/Artemis.html Artemis] 4 core PULP system including FPU (65nm).
+
* [http://asic.ethz.ch/2015/Fulmine.html Fulmine] Third generation of PULP platform, Convolutional accelerator, crypto accelerator, body biasing FLLs, 256 kByte memory  
* [http://asic.ethz.ch/2014/Hecate.html Hecate] 4 core PULP system with 2 shared FPUs (65nm).
+
* [http://asic.ethz.ch/2014/Artemis.html Artemis] 4 core PULP system including FPU.
* [http://asic.ethz.ch/2014/Selene.html Selene] 4 core PULP system with 1 shared FPU using a logarithmic number system (65nm).
+
* [http://asic.ethz.ch/2014/Hecate.html Hecate] 4 core PULP system with 2 shared FPUs.
* [http://asic.ethz.ch/2014/Diana.html Diana] 4 core PULP system with FPUs designed using approximate computing techniques (65nm).
+
* [http://asic.ethz.ch/2014/Selene.html Selene] 4 core PULP system with 1 shared FPU using a logarithmic number system.
* [http://asic.ethz.ch/2015/Phoebe.html Phoebe] an improved version of [http://asic.ethz.ch/2014/Selene.html Selene], 4 cores and 1 shared vectoral FPU using logarithmic number system
+
* [http://asic.ethz.ch/2014/Diana.html Diana] 4 core PULP system with FPUs designed using approximate computing techniques.
 +
* [http://asic.ethz.ch/2015/Phoebe.html Phoebe] an improved version of [http://asic.ethz.ch/2014/Selene.html Selene], 4 cores and 1 shared vectorial FPU using logarithmic number system
 
* [http://asic.ethz.ch/2015/Imperio.html Imperio] single core RISC-V based PULPino system. Has the PULP DNA, but is a single core microprocessor complete with peripherals from the PULP project.  
 
* [http://asic.ethz.ch/2015/Imperio.html Imperio] single core RISC-V based PULPino system. Has the PULP DNA, but is a single core microprocessor complete with peripherals from the PULP project.  
 +
* [http://asic.ethz.ch/2016/Patronus.html Patronus] chip with three separate single RISC-V cores. It is technically a newer PULPino (single core system)
 +
 
====130nm====
 
====130nm====
* [http://asic.ethz.ch/2015/Vivosoc.html Vivosoc] 2 core mixed-signal PULP system with a low-power A/D converter (130nm)
+
* [http://asic.ethz.ch/2015/Vivosoc.html Vivosoc] 2 core mixed-signal PULP system with a low-power A/D converter
 +
* [http://asic.ethz.ch/2016/Vivosoc2.html Vivosoc2] 4 core mixed-signal PULP system with a low-power A/D converter, 128 kB L2,
 +
* [http://asic.ethz.ch/2016/Vivosoc2.001.html Vivosoc2.001] updated version of 4 core mixed-signal PULP system with a low-power A/D converter
 +
* [http://asic.ethz.ch/2016/Triphos.html Triphos] Power management IC for VivoSoC
 +
 
 
====180nm====
 
====180nm====
 
* [http://asic.ethz.ch/2013/Or10n.html Or10n] An optimized implementation of the OpenRISC processor developed to be used within PULP.
 
* [http://asic.ethz.ch/2013/Or10n.html Or10n] An optimized implementation of the OpenRISC processor developed to be used within PULP.
Line 77: Line 90:
 
* [http://asic.ethz.ch/2015/Diego.html Diego] Large PULP chip with in-exact accelerators, LVT version  
 
* [http://asic.ethz.ch/2015/Diego.html Diego] Large PULP chip with in-exact accelerators, LVT version  
 
* [http://asic.ethz.ch/2015/Manny.html Manny] Large PULP chip with in-exact accelerators, sub-threshold version
 
* [http://asic.ethz.ch/2015/Manny.html Manny] Large PULP chip with in-exact accelerators, sub-threshold version
 
  
 
===Publications===
 
===Publications===
Line 83: Line 95:
 
====2016====
 
====2016====
  
* ''PULPino: A small single-core RISC-V SoC'', A. Traber, F. Zaruba, S. Stucki, A. Pullini, G. Haugou, E. Flamand, F. K. Gürkaynak, L. Benini, RISC-V Workshop, 2016, [http://riscv.org/workshop-jan2016/Wed1315%20PULP%20riscv3_noanim.pdf slides]
+
* ''Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters'', M. Schaffner, M. Gautschi, F. K. Gürkaynak, L. Benini, ARITH, 2016 (to appear)
 +
 
 +
* ''PULPino: A small single-core RISC-V SoC'', A. Traber, F. Zaruba, S. Stucki, A. Pullini, G. Haugou, E. Flamand, F. K. Gürkaynak, L. Benini, RISC-V Workshop, 2016, [http://riscv.org/workshop-jan2016/Wed1315%20PULP%20riscv3_noanim.pdf slides], [[Media:pulpino_poster_riscv2015.pdf|poster]]
 +
 
 +
* ''Enabling the Heterogeneous Accelerator Model on Ultra-Low Power Microcontroller Platforms'', F. Conti, D. Palossi, A. Marongiu, D. Rossi, L. Benini, DATE, 2016 (to appear)
  
* ''High-Efficiency Logarithmic Number Unit Design based on an Improved Cotransformation Scheme'', Y. Popoff, F. Scheidegger, M. Schaffner, M. Gautschi, F. K. Gürkaynak, L. Benini, DATE, 2016
+
* ''High-Efficiency Logarithmic Number Unit Design based on an Improved Cotransformation Scheme'', Y. Popoff, F. Scheidegger, M. Schaffner, M. Gautschi, F. K. Gürkaynak, L. Benini, DATE, 2016 (to appear)
  
* ''A 65nm CMOS 6.4-to-29.2pJ/FLOP@0.8V Shared Logarithmic Floating Point Unit for Acceleration of Nonlinear Function Kernels in a Tightly Coupled Processor Cluster'', M. Gautschi, M. Schaffner, F. K. Gürkaynak, L. Benini, ISSCC, 2016
+
* ''A 65nm CMOS 6.4-to-29.2pJ/FLOP@0.8V Shared Logarithmic Floating Point Unit for Acceleration of Nonlinear Function Kernels in a Tightly Coupled Processor Cluster'', M. Gautschi, M. Schaffner, F. K. Gürkaynak, L. Benini, ISSCC, 2016, [http://dx.doi.org/10.1109/ISSCC.2016.7417917 paper]
  
 
====2015====
 
====2015====
  
* ''A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters'', F. Conti, L. Benini, DATE, 2015, [http://dl.acm.org/citation.cfm?id=2755753.2755910 paper]
+
* ''A Ultra-Low-Energy Convolution Engine for Fast Brain-inspired Vision in Multicore Clusters'', F. Conti, L. Benini, DATE, 2015, [http://dl.acm.org/citation.cfm?id=2755753.2755910 paper]
 +
 
 +
* ''Lightweight virtual memory support for many-core accelerators in heterogeneous embedded SoCs'', P. Vogel, A. Marongiu, L. Benini, CODES+ISSS, 2015, [http://dx.doi.org/10.1109/CODESISSS.2015.7331367 paper]
 +
 
 +
* ''PULP: OpenRISC-based ultra-low power parallel platform'', D. Rossi, F. Conti, A. Pullini, I. Loi, M. Gautschi, D. Palossi, A. Marongiu, G. Haugou, L. Benini, ORCONF, 2015, [https://www.dropbox.com/s/qs3jbqqiz0948tj/PULP_ORCONF15.pptx?dl=0 slides][https://www.youtube.com/watch?v=HX-QHTMvuzk&list=PLUg3wIOWD8yoX2ECfeU_QP5snbu2Zs1Wp&index=3 video]
  
* ''PULPino: A RISC-V based single-core system'', A. Traber, S. Stucki, F. Zaruba, M. Gautschi, A. Pullini, I. Loi, D. Rossi, G. Haugou, F. K. Gürkaynak, L. Benini, ORCONF, 2015, [https://www.dropbox.com/s/vtrgqnc7dm7gbx2/Andreas%20Traber%20-%20pulpino.pdf?dl=0 slides]
+
* ''PULPino: A RISC-V based single-core system'', A. Traber, S. Stucki, F. Zaruba, M. Gautschi, A. Pullini, I. Loi, D. Rossi, G. Haugou, F. K. Gürkaynak, L. Benini, ORCONF, 2015, [https://www.dropbox.com/s/vtrgqnc7dm7gbx2/Andreas%20Traber%20-%20pulpino.pdf?dl=0 slides][https://www.youtube.com/watch?v=-_zGoJmPddo&index=4&list=PLUg3wIOWD8yoX2ECfeU_QP5snbu2Zs1Wp video]
  
 
* ''Exploring Multi-banked Shared-L1 Program Cache on Ultra-Low Power Tightly Coupled Processor Clusters'', I. Loi, D. Rossi, G. Haugou, M. Gautschi, L. Benini, ACM Computing Frontiers, 2015, [http://dx.doi.org/10.1145/2742854.2747288 paper]
 
* ''Exploring Multi-banked Shared-L1 Program Cache on Ultra-Low Power Tightly Coupled Processor Clusters'', I. Loi, D. Rossi, G. Haugou, M. Gautschi, L. Benini, ACM Computing Frontiers, 2015, [http://dx.doi.org/10.1145/2742854.2747288 paper]
 +
 +
* ''PULP: A Ultra-Low Power Parallel Accelerator for Energy-Efficient and Flexible Embedded Vision'', F. Conti, D. Rossi, A. Pullini, I. Loi, L. Benini, Journal of Signal Processing Systems, October 2015, [http://link.springer.com/article/10.1007%2Fs11265-015-1070-9 paper]
 +
 +
* ''A −1.8V to 0.9V body bias, 60 GOPS/W 4-core cluster in low-power 28nm UTBB FD-SOI technology'', D. Rossi, A. Pullini, M. Gautschi, I. Loi, F.K. Gurkaynak, P. Flatresse, L. Benini, S3S, October 2015, [http://dx.doi.org/10.1109/S3S.2015.7333483 paper]
  
 
* ''A 60 GOPS/W, −1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology'', D. Rossi, A. Pullini, I. Loi, F. K. Gürkaynak, A. Bartolini, P. Flatresse, L. Benini, Solid-State Electronics, 2016, [http://dx.doi.org/10.1016/j.sse.2015.11.015 paper]  
 
* ''A 60 GOPS/W, −1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology'', D. Rossi, A. Pullini, I. Loi, F. K. Gürkaynak, A. Bartolini, P. Flatresse, L. Benini, Solid-State Electronics, 2016, [http://dx.doi.org/10.1016/j.sse.2015.11.015 paper]  
Line 102: Line 126:
  
 
* ''Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores'', M. Gautschi, A. Traber, A. Pullini, L. Benini, M. Scandale, A. Di Federico, M. Beretta, G. Agosta, VLSI-SoC, 2015, [http://dx.doi.org/10.1109/VLSI-SoC.2015.7314386 paper]
 
* ''Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores'', M. Gautschi, A. Traber, A. Pullini, L. Benini, M. Scandale, A. Di Federico, M. Beretta, G. Agosta, VLSI-SoC, 2015, [http://dx.doi.org/10.1109/VLSI-SoC.2015.7314386 paper]
 +
  
 
====2014====
 
====2014====
Line 112: Line 137:
  
 
* ''Energy efficient parallel computing on the PULP platform with support for OpenMP'', D. Rossi, I. Loi, F. Conti, G. Tagliavini, A. Pullini, A. Marongiu, IEEEI, 2014, [http://dx.doi.org/10.1109/EEEI.2014.7005803 paper]
 
* ''Energy efficient parallel computing on the PULP platform with support for OpenMP'', D. Rossi, I. Loi, F. Conti, G. Tagliavini, A. Pullini, A. Marongiu, IEEEI, 2014, [http://dx.doi.org/10.1109/EEEI.2014.7005803 paper]
 
  
 
===Links===
 
===Links===
 +
* [http://www.pulp-platform.org Official PULP Project Website]
 +
* [https://github.com/pulp-platform/pulpino PULPino on GitHub]
 
* [http://iis.ee.ethz.ch/~haugoug/pulp PULP SDK] (Software Development Kit)
 
* [http://iis.ee.ethz.ch/~haugoug/pulp PULP SDK] (Software Development Kit)
 
* [http://www-micrel.deis.unibo.it/pulp-project PULP page in University of Bologna]
 
* [http://www-micrel.deis.unibo.it/pulp-project PULP page in University of Bologna]
* [http://compilergroup.elet.polimi.it/doku.php?id=research:pulp LLVM compiler for PULP developed by Politecnico di Milano]  
+
* [http://compilergroup.elet.polimi.it/doku.php?id=research:pulp LLVM compiler for OpenRISC cores of PULP developed by Politecnico di Milano]  
 
** [http://compilergroup-srv.elet.polimi.it/toolchains/or1k-toolchain-linux-amd64-latest.tar.gz Latest compiled toolchain for Linux EL6]
 
** [http://compilergroup-srv.elet.polimi.it/toolchains/or1k-toolchain-linux-amd64-latest.tar.gz Latest compiled toolchain for Linux EL6]
  
 +
===Templates and Logos===
 +
[[Media:pulp_logos.tar|This archive]] contains all PULP logos below as well as inverted versions thereof for dark backgrounds (in PDF and PNG formats). Also, it includes the "Orbitron" font which has been used here.
  
===Logos===
+
For documentation, please use the following [[Media:Pulp_ug_template_v1.0.tar.gz|Word template (v1.0)]]. And here is a PULP [[Media:Pulp_slide_template_v1.0.pptx|slide template for Powerpoint (v1.0)]].
[[Media:pulp_logos.tar|This archive]] contains all PULP logos below as well as inverted versions thereof for dark backgrounds (in PDF and PNG formats). Also, it includes the "Orbitron" font which has been used here.
 
  
 
====Inline====
 
====Inline====

Revision as of 06:36, 10 August 2017

Layout of Pulp v3.


PULP logo (for different variants and file formats see below)


PULP - An Open Parallel Ultra-Low-Power Processing-Platform

Basic block diagram of a PULP system.

This is a joint project between the Integrated Systems Laboratory (IIS) of ETH Zurich and the Energy-efficient Embedded Systems (EEES) group of UNIBO to develop an open, scalable Hardware and Software research platform with the goal to break the pJ/op barrier within a power envelope of a few mW.

The PULP platform is a multi-core platform achieving leading-edge energy-efficiency and featuring widely-tunable performance. The aim of PULP is to satisfy the computational demands of IoT applications requiring flexible processing of data streams generated by multiple sensors, such as accelerometers, low-resolution cameras, microphone arrays, vital signs monitors. As opposed to single-core MCUs, a parallel ultra-low-power programmable architecture allows to meet the computational requirements of these applications, without exceeding the power envelope of a few mW typical of miniaturized, battery-powered systems. Moreover, OpenMP, OpenCL and OpenVX are supported on PULP, enabling agile application porting, development, performance tuning and debugging.


Inquiries from interested partners are welcome.

....more to follow.... stay tuned!

See also:


PULPino - A Small Single-Core System Based on PULP

PULPino is an open-source microcontroller like system, based on a small 32-bit RISC-V core (Ri5CY) that was developed at ETH Zurich. The core has an IPC close to 1, full support for the base integer instruction set (RV32I), compressed instructions (RV32C) and partial support for the multiplication instruction set extension (RV32M). It implements our non-standard extensions for hardware loops, post-incrementing load and store instructions, ALU and MAC operations. To allow embedded operating systems such as FreeRTOS to run, a subset of the privileged specification is supported. When the core is idle, the platform can be put into a low power mode, where only a simple event unit is active and wakes up the core in case an event/interrupt arrives.

The PULPino platform is available for RTL simulation, FPGA and the first ASIC (called Imperio) has been taped out in January 2016. It has full debug support on all targets. In addition we support extended profiling with source code annotated execution times through KCacheGrind in RTL simulations.

In August 2017, new cores and extensions were released for PULPino. An FPU can now optionally be added to Ri5CY supporting (RV32M). There is now also a 2-stage pipelined core called Zero-riscy optimized for small area. Zero-riscy support RV32ICM extensions, but does not have the custom extensions that RI5CY has. This core can also be configured to have only 16 registers, conforming to the (RV32E) for even smaller area. This is configuration is called Micro-riscy.

PULPino is based on IP blocks from the PULP project.


See also:

Related Available Student Projects


Related Chips

28nm

  • Pulp v1 The first version of the PULP platform realized in 28nm FDSOI (RVT) technology with 4 parallel cores.
  • Pulp v2 The second version of the PULP platform realized in 28nm FDSOI (LVT) technology with 4 parallel cores.
  • Pulp v3 The third version of the PULP platform realized in 28nm FDSOI (RVT) technology with 4 parallel cores and a hardware accelerator.
  • Honey Bunny PULp platform using RISC-V compliant RI5CY cores and Globalfoundries 28nm SLP technology. Four cores, 68 kBytes of TCDM and 256 kBytes of L2.

65nm

  • Mia Wallace Third generation of PULP platform, HW accelerators, body biasing FLLs, 256 kByte memory
  • Fulmine Third generation of PULP platform, Convolutional accelerator, crypto accelerator, body biasing FLLs, 256 kByte memory
  • Artemis 4 core PULP system including FPU.
  • Hecate 4 core PULP system with 2 shared FPUs.
  • Selene 4 core PULP system with 1 shared FPU using a logarithmic number system.
  • Diana 4 core PULP system with FPUs designed using approximate computing techniques.
  • Phoebe an improved version of Selene, 4 cores and 1 shared vectorial FPU using logarithmic number system
  • Imperio single core RISC-V based PULPino system. Has the PULP DNA, but is a single core microprocessor complete with peripherals from the PULP project.
  • Patronus chip with three separate single RISC-V cores. It is technically a newer PULPino (single core system)

130nm

  • Vivosoc 2 core mixed-signal PULP system with a low-power A/D converter
  • Vivosoc2 4 core mixed-signal PULP system with a low-power A/D converter, 128 kB L2,
  • Vivosoc2.001 updated version of 4 core mixed-signal PULP system with a low-power A/D converter
  • Triphos Power management IC for VivoSoC

180nm

  • Or10n An optimized implementation of the OpenRISC processor developed to be used within PULP.
  • Sir10us A cryptographic application that uses the Or10n processor developed for PULP.
  • Sid Large PULP chip with in-exact accelerators, LL version
  • Diego Large PULP chip with in-exact accelerators, LVT version
  • Manny Large PULP chip with in-exact accelerators, sub-threshold version

Publications

2016

  • Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters, M. Schaffner, M. Gautschi, F. K. Gürkaynak, L. Benini, ARITH, 2016 (to appear)
  • PULPino: A small single-core RISC-V SoC, A. Traber, F. Zaruba, S. Stucki, A. Pullini, G. Haugou, E. Flamand, F. K. Gürkaynak, L. Benini, RISC-V Workshop, 2016, slides, poster
  • Enabling the Heterogeneous Accelerator Model on Ultra-Low Power Microcontroller Platforms, F. Conti, D. Palossi, A. Marongiu, D. Rossi, L. Benini, DATE, 2016 (to appear)
  • High-Efficiency Logarithmic Number Unit Design based on an Improved Cotransformation Scheme, Y. Popoff, F. Scheidegger, M. Schaffner, M. Gautschi, F. K. Gürkaynak, L. Benini, DATE, 2016 (to appear)
  • A 65nm CMOS 6.4-to-29.2pJ/FLOP@0.8V Shared Logarithmic Floating Point Unit for Acceleration of Nonlinear Function Kernels in a Tightly Coupled Processor Cluster, M. Gautschi, M. Schaffner, F. K. Gürkaynak, L. Benini, ISSCC, 2016, paper

2015

  • A Ultra-Low-Energy Convolution Engine for Fast Brain-inspired Vision in Multicore Clusters, F. Conti, L. Benini, DATE, 2015, paper
  • Lightweight virtual memory support for many-core accelerators in heterogeneous embedded SoCs, P. Vogel, A. Marongiu, L. Benini, CODES+ISSS, 2015, paper
  • PULP: OpenRISC-based ultra-low power parallel platform, D. Rossi, F. Conti, A. Pullini, I. Loi, M. Gautschi, D. Palossi, A. Marongiu, G. Haugou, L. Benini, ORCONF, 2015, slidesvideo
  • PULPino: A RISC-V based single-core system, A. Traber, S. Stucki, F. Zaruba, M. Gautschi, A. Pullini, I. Loi, D. Rossi, G. Haugou, F. K. Gürkaynak, L. Benini, ORCONF, 2015, slidesvideo
  • Exploring Multi-banked Shared-L1 Program Cache on Ultra-Low Power Tightly Coupled Processor Clusters, I. Loi, D. Rossi, G. Haugou, M. Gautschi, L. Benini, ACM Computing Frontiers, 2015, paper
  • PULP: A Ultra-Low Power Parallel Accelerator for Energy-Efficient and Flexible Embedded Vision, F. Conti, D. Rossi, A. Pullini, I. Loi, L. Benini, Journal of Signal Processing Systems, October 2015, paper
  • A −1.8V to 0.9V body bias, 60 GOPS/W 4-core cluster in low-power 28nm UTBB FD-SOI technology, D. Rossi, A. Pullini, M. Gautschi, I. Loi, F.K. Gurkaynak, P. Flatresse, L. Benini, S3S, October 2015, paper
  • A 60 GOPS/W, −1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology, D. Rossi, A. Pullini, I. Loi, F. K. Gürkaynak, A. Bartolini, P. Flatresse, L. Benini, Solid-State Electronics, 2016, paper
  • PULP: A Parallel Ultra-Low-Power Platform for Next Generation IoT Applications, D. Rossi, F. Conti, A. Marongiu, A. Pullini, I. Loi, M. Gautschi, G. Tagliavini, A. Capotondi, P. Flatresse, L. Benini, HOT Chips, 2015, slides
  • Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores, M. Gautschi, A. Traber, A. Pullini, L. Benini, M. Scandale, A. Di Federico, M. Beretta, G. Agosta, VLSI-SoC, 2015, paper


2014

  • Energy-efficient vision on the PULP platform for ultra-low power parallel computing, F. Conti, D. Rossi, A. Pullini, I. Loi, L. Benini, SiPS, 2014, paper
  • Ultra-low-latency lightweight DMA for tightly coupled multi-core clusters, D. Rossi, I. Loi, G. Haugou, L. Benini, ACM Computing Frontiers, 2014, paper
  • Customizing an Open Source Processor to Fit in an Ultra-Low Power Cluster with a Shared L1 Memory, M. Gautschi, D. Rossi, L. Benini, GLSVLSI, 2014, paper
  • Energy efficient parallel computing on the PULP platform with support for OpenMP, D. Rossi, I. Loi, F. Conti, G. Tagliavini, A. Pullini, A. Marongiu, IEEEI, 2014, paper

Links

Templates and Logos

This archive contains all PULP logos below as well as inverted versions thereof for dark backgrounds (in PDF and PNG formats). Also, it includes the "Orbitron" font which has been used here.

For documentation, please use the following Word template (v1.0). And here is a PULP slide template for Powerpoint (v1.0).

Inline

Inline PULP logo, variant 2 (PNG PDF). Inline PULP logo, variant 1 (PNG PDF).

Big

Big PULP logo, variant 2 (PNG PDF). Big PULP logo, variant 1 (PNG PDF). Main PULP logo icon (PNG PDF).