Personal tools

Search results

From iis-projects

Jump to: navigation, search

Page title matches

Page text matches

  • * [[Noise Figure Measurement for Cryogenic System]] * [[A FPGA-based data streaming system that enables real-time monitoring of cell culture and neuroactivities]]
    5 KB (540 words) - 12:31, 8 May 2024
  • ...er, there is a lot of functionality which will never be used in the target system and it is therefore not necessary to maintain all this functionality. Remov ...Adding User I/O to the Processor''': If the processor is not embedded in a system, it is like a blackbox which computes something and stores the result in th
    10 KB (1,669 words) - 19:01, 30 January 2014
  • ...ilog code, is the '''emacs''' editor, as it has a really advanced VHDL and System Verilog mode. Because of this, you should get comfortable with the idea of
    841 bytes (137 words) - 14:42, 16 January 2014
  • dynamically modify the timing constrains aiming to tolerate at system level reliable computing system in nano-meter technology operating in
    3 KB (409 words) - 10:52, 27 March 2014
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (397 words) - 15:44, 14 February 2023
  • ...-process this data in order to compact it for the transport to the imaging system. A special challenge pose the high-speed output pin drivers. In case of int ...o reduce the incidental data rates between the sensor head and the backend system, and second, new beamforming strategies to minimize the computational burde
    4 KB (614 words) - 20:10, 17 February 2015
  • ...tion of this work is to provide the means for achieving a portable medical system that can provide high-quality images while being battery operated, and thus ...latform utilization and efficiency. One of the distinctive features of the system will be to support a qualified software deployment and maintenance model wh
    18 members (0 subcategories, 0 files) - 15:06, 17 January 2014
  • TD-SCDMA is a 3GPP standard that combines an advanced TDMA/TDD system with an adaptive CDMA component operating in a synchronous mode. Its TDD na
    1 KB (206 words) - 14:07, 9 February 2015
  • TD-SCDMA is a 3GPP standard that combines an advanced TDMA/TDD system with an adaptive CDMA component operating in a synchronous mode. Its TDD na
    1 KB (160 words) - 11:17, 23 September 2016
  • ...le or strechable materials to form wearable and conformable devices. These system are often composed of various flexible, bendable but also small ridgid comp
    4 KB (444 words) - 12:43, 23 July 2023
  • ...ure in such a way that later studies will allow '''ML-based control of the system'''. ...architecture is to be designed in such a way that ML-based control of the system is possible.
    6 KB (741 words) - 18:14, 21 July 2023
  • TD-SCDMA is a 3GPP standard that combines an advanced TDMA/TDD system with an adaptive CDMA component operating in a synchronous mode. Its TDD na
    1 KB (158 words) - 11:17, 23 September 2016
  • TD-HSPA is a 3GPP standard that combines an advanced TDMA/TDD system with an adap- MLSD computation for a system with such dimensions practically impossible given the current
    5 KB (684 words) - 10:43, 6 November 2017
  • ...ject, you will assess the effect of carrier aggregation in an LTE-Advanced system. You will start by implementing a MATLAB framework to simulate the LTE-Adva
    3 KB (405 words) - 16:13, 29 December 2016
  • ...he chance to develop and test a transmitter for a 3G mobile communications system. The IIS is currently working on a receiver for TD-HSPA, a 3G standard emer ...ring the VLSI III lecture. For an implementation on an FPGA, the resulting system (combined with the existing analog transceiver) can be tested using a proto
    3 KB (382 words) - 20:00, 26 September 2017
  • * Digital circuit and system design (FPGA/ASIC)
    922 bytes (125 words) - 12:42, 1 June 2017
  • Forward error correction is a crucial part in any communication system, since it enables reliable transmission over unreliable channels. In mobile
    3 KB (508 words) - 11:12, 14 April 2016
  • Ultra Low Power System on a Chip (UlpSoC) is the flagship project at the IIS that aims to design e
    8 members (0 subcategories, 0 files) - 19:42, 16 January 2014
  • ...ill form the standard chip to chip communication standard for the [[PULP]] system.
    3 KB (492 words) - 12:34, 7 November 2017
  • applications for this system is in real-time video applications, expecially system, improving the energy efficiency of the system. The accelerator will
    3 KB (407 words) - 10:57, 5 November 2019
  • The IIS 2G testbed has no operating system (OS) running on [4] FreeRTOS - Market leading RTOS (Real Time Operating System) for embedded systems
    3 KB (421 words) - 10:40, 6 November 2017
  • ...tp://www.freertos.org/ FreeRTOS - Market leading RTOS (Real Time Operating System) for embedded systems with Internet of Things extensions], June 2015.
    2 KB (273 words) - 11:30, 24 February 2017
  • ...data over a wireless link. Some of these system even include an actuation system, which reacts depending on the captured data. ...nnected and operate and act collaboratively it is called a 'cyper-physical system' (CPS).
    3 KB (418 words) - 11:24, 10 November 2017
  • ...tion of the FPUs and therefore reduce the overall power consumption of the system. Sharing FPUs allows to employ several different units, which can be either ...nd is a promising approach to further improve the energy efficiency of the system. One way to approximate FP-operations is to use Newton's method to compute
    3 KB (377 words) - 10:58, 21 February 2018
  • ...egory:Qcrypt|QCRYPT]] was the development of the 100 Gbit/s link encryptor system with a variety of optical interfaces on the plain-text side and a 100 Gbit ...main focus lay on the development of a second version of the PCB for this system. The layer stackup was modified to enhance the high-frequency quality of th
    2 KB (359 words) - 20:06, 17 February 2015
  • ''2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC)'', Santa Cruz, California, USA, 7-10 Oct 2012
    3 KB (392 words) - 12:25, 26 March 2015
  • ...of quantum mechanics. However, existing systems are too slow. The new QKD system will be capable of producing keys at 1 Mbps rate, which means it will allow
    2 members (0 subcategories, 0 files) - 17:27, 28 January 2014
  • ...erent mobile platforms, most productions are captured with one acquisition system at fixed parameters. Examples for content adaption algorithms are content-a ...ons and VLSI Implementations", ''VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, volume 418 of IFIP Advances in Information and Communicatio
    4 KB (520 words) - 16:04, 13 May 2015
  • ...IC/FPGA system which implements the first three steps of this process. Our system is able to extract SKB features from one 720p video stream in real time (30
    3 KB (487 words) - 15:57, 13 May 2015
  • ...ing and embedded heterogeneous systems on a chip with a focus on operating system, driver, runtime and programming model support for efficient and transparen
    1 KB (193 words) - 15:39, 3 March 2020
  • ...the image is being acquired and forward this information to a larger host system for further processing. ...algorithm steps directly ion hardware and interface with the host computer system.
    3 KB (357 words) - 18:53, 6 December 2014
  • ...bits. Such data converters are intended to be embedded in a mostly digital system, and this legitimates the adoption of ultra-scaled CMOS technologies from w
    2 KB (277 words) - 17:28, 29 January 2014
  • ...ying downscaling of CMOS technology to the nano scale device size and tera system complexity. This aggressive downscaling has allowed the number of transisto ...stem functionality into digital, analog and RF or sensor realizations on a system on chip optimally is one of the key topics that will impact the era of nano
    7 members (0 subcategories, 2 files) - 17:31, 29 January 2014
  • ==Implantable/Wearable System for on-line Monitoring of Human Metabolic Conditions== ...surements, while at the same time reducing analysis time and cost. The new system will investigate many different metabolic compounds of interest in cardiova
    1 member (0 subcategories, 0 files) - 18:14, 29 January 2014
  • Although the wireless and battery-powered nature of this system reduces the impact of mains interference, its amplitude might nonetheless b ...ed by an off-the-shelf mobile phone battery which is sufficient to run the system for several hours - the battery life-time is mainly limited by the Bluetoot
    2 KB (280 words) - 10:54, 10 March 2015
  • his PhD thesis entitled "An Evolved EDGE System on Chip for the Cellular
    894 bytes (115 words) - 17:17, 30 November 2021
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (597 words) - 12:56, 4 December 2021
  • ...upper layers of the OsmocomBB GSM protocol stack. The functionality of the system is verified with a testbed comprising a base station and a receiver board w
    3 KB (360 words) - 14:14, 27 May 2015
  • ...ssor and the unit where RLC blocks are processed for IR is attached to the system processor. The decoding of the RLC blocks takes place on a PHY Digital Sign
    3 KB (397 words) - 14:12, 27 May 2015
  • ...ons such as those in the time-duplexing high speed packet access (TD-HSPA) system.
    2 KB (266 words) - 10:43, 9 February 2015
  • ...terms of parallelism, performances and robustness. One has to revisit the system design in terms of usage of hardware accelerators, heterogeneous or homogen
    4 KB (568 words) - 12:48, 9 February 2015
  • File:Exploitation of Inherent Error Resilience of Wireless Systems.png
    ...communication system (receive side). Bottom: Throughput performance of the system including re-transmissions with hybrid-ARQ for various defect rates.
    (631 × 581 (187 KB)) - 10:33, 4 February 2014
  • ...communication system (receive side). Bottom: Throughput performance of the system including re-transmissions with hybrid-ARQ for various defect rates.]] ...Q operation that is critical for the average throughput performance of the system.
    2 KB (343 words) - 13:56, 9 February 2015
  • File:Data Mapping for Unreliable Memories.png
    ...channel with unreliable memory. Bottom: Bit-error rate performance of the system assuming convolutional coding for different data representations.
    (631 × 539 (130 KB)) - 10:39, 4 February 2014
  • ...channel with unreliable memory. Bottom: Bit-error rate performance of the system assuming convolutional coding for different data representations.]] ...ion-specific cost functions) substantially increases the robustness of the system to unreliable memory operation, compared to the data representations most c
    3 KB (352 words) - 13:56, 9 February 2015
  • ...t role in this type of devices and in general in battery-operated embedded system. : Interest in Computer Architectures at system level
    2 KB (342 words) - 16:46, 11 February 2015
  • ...sor and actuator networks (WSANs) possible. These networks are distributed system consisting of nodes with sensors, intelligence and actuator interconnected ...e power consumption reduction, reliability, functionality and optimize the system.
    3 KB (487 words) - 12:02, 27 January 2016
  • ...a low power radio wake up receiver can reduce the power consumption of the system while still keeping its response time low. Another role of the wake-up radi ...and receiver and it could include . Measurements on the performance of the system will be performed from the students in order to evaluate the distance, powe
    4 KB (613 words) - 19:54, 9 February 2015
  • ...ices while still keeping its wake up time low. Another role of the wake-up system is that based on "intelligence" to select a specific device which has to be ...and receiver and it could include . Measurements on the performance of the system will be performed from the students in order to evaluate the distance, powe
    3 KB (515 words) - 19:55, 9 February 2015
  • [[File:FeatureExtractionSystem.jpg|thumb|500px|Current feature extraction system.]] ...rrently used in the video analysis part of a more complex video processing system which performs [[Real-Time_Stereo_to_Multiview_Conversion|automatic multiv
    3 KB (373 words) - 11:51, 19 August 2017
  • ...o experienced students) comprises the implementation of such a measurement system. Possible approaches include a cross-correlating spectrum analyzer or the u
    2 KB (251 words) - 20:06, 17 February 2015
  • ...uld be to evaluate and integrate this camera into a working scene labeling system [[http://dl.acm.org/citation.cfm?id=2744788 paper]] and would be very diver * create a system from the individual parts (build a case/box mounting the cameras, dev board
    6 KB (941 words) - 11:29, 5 February 2016
  • ...e with basic engineering tools (web search, basic usage of Linux operating system, compilers…) and of work independence
    5 KB (784 words) - 14:50, 30 November 2016
  • [[Category:Biomedical System on Chips]]
    2 KB (278 words) - 16:57, 12 July 2022
  • [[Category:System Design]]
    2 KB (348 words) - 20:01, 26 September 2017
  • * Designing the system architecture * Defining the circuit using hardware description languages (HDL) such as (System Verilog or VHDL) for [[:Category:Digital|digital projects]], and schematic
    1 KB (165 words) - 19:52, 10 February 2015
  • * Understand the different available peripherals on your system board * Run your system on the development board and collect the results.
    1,020 bytes (132 words) - 19:50, 10 February 2015
  • ...will be able to control the accelerator from the command line of the Linux system. :[3] [http://www.arm.com/products/system-ip/amba/amba-open-specifications.php ARM AMBA Specification]
    2 KB (236 words) - 09:46, 12 October 2017
  • [[File:pulp_block_diag.png|thumb|400px|Basic block diagram of a PULP system.]] * [http://asic.ethz.ch/2020/Thestral.html Thestral] Snitch based system with 1x cluster (8x compute + 1x DMA core) and 1x governor core. Designed t
    10 KB (1,563 words) - 10:09, 19 August 2022
  • [[Category:System Design]]
    3 KB (449 words) - 12:12, 4 November 2019
  • ...r OpenRISC core with the following capabilities so that a standalone small system can be designed that can directly interface with various sensors and can co : For low power operations, we would like to shutdown most of the system including the processor, and wait until there is an event that requires the
    4 KB (667 words) - 15:23, 23 December 2016
  • In recent years reseach works shows that thermal evolution of a multicore system can be effectively modelled with linear state-space representation enabling ...will then be part of a larger system and be part of the thermal management system. In this project the goal is to implement a novel MPC algorithm in hardware
    3 KB (456 words) - 08:35, 20 January 2021
  • ..., R.N. Challa, and H.A. Mahmoud. Frequency Scan Method for Determining the System Center Frequency for LTE TDD, September 6 2013. WO Patent App. PCT/US2013/0
    2 KB (350 words) - 17:56, 14 April 2016
  • ====[[Biomedical System on Chips|Biomedical System on Chips]]==== ...f wireless communication. Our current platform with a multi-core processor system and a great RF transceiver allows us to research upcoming wireless transmis
    3 KB (369 words) - 18:11, 1 March 2023
  • ...method by implementing our system.. Measurements on the performance of the system will be performed from the students in order to evaluate the distance, powe : Interest in Computer Architectures at system level
    3 KB (378 words) - 19:56, 9 February 2015
  • Reliability (R(t)) is the probability that a given system does not fail before time t. It is becoming a major concern in modern multi ...bile]] [[Category:Temperature]] [[Category:Dynamic Management]] [[Category:System Design]]
    4 KB (573 words) - 17:24, 9 February 2015
  • ...s an heterogeneous thermal profile which is highly dependent on the actual system usage. As a matter of fact today and future mobile devices are thermally li ...thermal model can be directly identified from the target device by mean of system identification and self-calibrating routines.
    3 KB (452 words) - 11:03, 10 February 2015
  • [[Category:System Design]]
    3 KB (408 words) - 13:17, 5 February 2016
  • ...stem was proposed alongside the release-8 of the Long Term Evolution (LTE) system for the fourth generation (4G) of mobile communication. While the air inter ...tionality of a standard-compliant physical layer of a mobile communication system. Possibly, the student can also investigate and analyze an interesting perf
    1 KB (159 words) - 11:16, 23 September 2016
  • [1] ''Cellular system support for ultra-low complexity and low throughput Internet of Things (CIo
    3 KB (384 words) - 16:41, 17 July 2016
  • ...E transceiver [2] will be used. You will start with your design by doing a system analysis on the required building blocks (Synchronization, FFT, Symbol dete [[Category:System Design]]
    3 KB (335 words) - 14:20, 4 November 2019
  • : Matlab, C++, VHDL or System Verilog
    2 KB (351 words) - 13:09, 2 November 2015
  • : Matlab, C++, VHDL or System Verilog
    2 KB (328 words) - 12:38, 1 June 2017
  • ...er, a careful design of each regulator is extremely important. A PCB-based system, containing of-the-shelf converter chips where available, and discrete-comp [[Category:System Design]]
    3 KB (438 words) - 18:06, 3 February 2015
  • ...be mapped to both cores. This results in a lower active time, allowing the system to enter a low-power sleep mode, and reduce the total energy consumption.
    3 KB (431 words) - 18:04, 28 January 2017
  • [[Category:System Design]]
    4 KB (589 words) - 10:14, 3 August 2018
  • ...If you are interested in doing something with low-power, energy-efficient system design, just send me an e-mail. There is plenty of room for both theoretica
    890 bytes (114 words) - 19:10, 28 January 2017
  • : Interest in Computer Architectures at system level : Wearable system I (prof. Troester lectures)
    2 KB (319 words) - 16:24, 30 October 2020
  • ...s, microprocessors, FPGAs and get a demonstrator to work. Depending on the system this may require you to: * Program a microcontroller or a microprocessor system
    122 members (0 subcategories, 0 files) - 17:03, 3 February 2015
  • [[File:mvSystem.jpg|thumb|600px|a) Multiview system in action and b) closeup of the hardware prototype.]] Ideally, a 3D display system should not require the users to
    3 KB (509 words) - 09:09, 23 October 2015
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    7 KB (816 words) - 11:57, 8 May 2024
  • ...event-driven simulator designed for simulating IoT processors and complex system-on-chips (SoCs). It plays a crucial role in enabling agile design space exp * Experience with System Verilog is recommended but not strictly necessary
    4 KB (520 words) - 15:15, 4 December 2023
  • ...k, Nitin Mangalvedhe, Amitava Ghosh, and Benny Vejlgaard. Narrowband LTE-M system for M2M communication. 2014.
    4 KB (561 words) - 10:43, 6 November 2017
  • File:Pulp block diag.png
    Block diagram of the PULP system
    (1,361 × 751 (137 KB)) - 18:47, 7 July 2015
  • is indispensable. Ideally, System-on-a-Chip (SoC) or System-in-a-Package (SiP) modems such as [1] are
    7 KB (1,105 words) - 20:02, 26 September 2017
  • [[Category:Digital]] [[Category:System Design]]
    5 KB (707 words) - 11:22, 5 February 2016
  • [[Category:System Design]]
    1 KB (169 words) - 16:42, 9 December 2015
  • ...erogeneous uniform memory access (hUMA) as envisioned by the Heterogeneous System Architecture (HSA) foundation, their low-power counterparts targeting the e ...L1 scratchpad memory, and the shared main memory to optimally exploit the system's memory hierarchy and to achieve high performance.
    5 KB (716 words) - 13:43, 29 November 2019
  • ...e piezoelectric elements in the transducer head are connected to a backend system over a large cable containing hundreds of small coaxial cables. This is sho In order to do so, the entire analog frontend of the ultrasound system needs to be integrated into the transducer head and a digital link needs to
    3 KB (378 words) - 11:52, 10 January 2017
  • ...tation of an entire scene labeling network. In order to keep the developed system flexible in terms of the convolutional neural network that is applied as we ...rt software blocks to programmable logic and design an entire hetergeneous system using with software, FPGA fabric and hardwired interfaces.
    8 KB (1,197 words) - 18:18, 29 August 2016
  • [[Category:System Design]]
    3 KB (420 words) - 11:22, 14 April 2016
  • ...erogeneous uniform memory access (hUMA) as envisioned by the Heterogeneous System Architecture (HSA) foundation, their low-power counterparts targeting the e This system design project requires work to be done at several layers of abstraction. M
    4 KB (585 words) - 17:57, 7 November 2017
  • ...erogeneous uniform memory access (hUMA) as envisioned by the Heterogeneous System Architecture (HSA) foundation, their low-power counterparts targeting the e : VHDL/System Verilog, C
    4 KB (554 words) - 17:57, 7 November 2017
  • ...ment of novel zero-power sensors that act as a trigger for the rest of the system when an important event is detected and consume zero-power between two dete ...classification accuracy and energy efficiency and to further optimize the system.
    6 KB (774 words) - 08:36, 23 November 2022
  • [[Category:System Design]] [[Category:System Design]]
    4 KB (471 words) - 11:13, 3 May 2018
  • ...we have implemented and fabricated an 8-channel biosignal acquisition SoC (System-on-Chip) [http://asic.ee.ethz.ch/2014/CerebroV4.0_Homer.html] including ana
    2 KB (353 words) - 08:35, 20 January 2021
  • [[Category:System Design]] [[Category:Completed]] [[Category:Semester Thesis]] [[Category:Luk [[Category:Digital]] [[Category:System Design]]
    4 KB (563 words) - 11:29, 5 February 2016
  • ...classification accuracy and energy efficiency and to further optimize the system. : Interest in Computer Architectures at system level
    3 KB (448 words) - 11:59, 28 July 2015
  • : Interest in Computer Architectures at system level ...arning would be beneficial (i.e. semester project or exam done in Wearable system I prof. Troester)
    3 KB (380 words) - 11:59, 28 July 2015
  • [[Category:System Design]]
    4 KB (507 words) - 12:11, 16 February 2016
  • ...otal power spent for event detection, we propose an alternative, two-stage system architecture consisting of: 1. "wake-up sensing" (WUS) circuit, and 2. main [[Category:System Design]]
    7 KB (895 words) - 17:02, 28 July 2017
  • ...hose in our prototype, and otherwise improve it by building a more compact system, adding communication capabilities to transmit suspicious cases to a remote [[Category:Digital]] [[Category:System]] [[Category:Semester Thesis]] [[Category:Group Work]]
    8 KB (1,176 words) - 16:26, 30 October 2020
  • ...by canceling self-induced motion blur. The VOR is driven by the vestibular system and induces short-latency eye movements in the opposite direction to the he
    2 KB (376 words) - 14:43, 29 July 2015
  • ...l-diversity streams have been introduced with the Evolved EDGE 2G cellular system [1], the recent EC-GSM-IoT standard achieves up to 20 dB coverage extension
    3 KB (418 words) - 10:39, 6 November 2017
  • ...ration of the communication portion of the node is indispensable. Ideally, System-on-a-Chip (SoC) modems are used.
    2 KB (299 words) - 17:58, 14 April 2016
  • [[Category:System Design]]
    3 KB (390 words) - 11:59, 20 June 2016
  • <!-- * [[Single-Bit-Synapse Spiking Neural System-on-Chip]] (master thesis)-->
    3 KB (328 words) - 21:18, 29 January 2019
  • [[Category:System Design]]
    4 KB (593 words) - 14:57, 30 November 2016
  • File:MvSystem.jpg
    closeup of the multiview system.
    (2,484 × 2,785 (5.5 MB)) - 08:58, 23 October 2015
  • ...lly, the goal is to attach the developed accelerator to the ARM processing system on the Xilinx Zynq platform, and establish the corresponding software inter : Matlab, C++, VHDL or System Verilog
    4 KB (542 words) - 12:39, 1 June 2017
  • ...stablished method to save power in circuit parts currently not in use in a system on chip (SoC). In contrast to clock gating, where the clock signal is disab
    2 KB (364 words) - 09:34, 25 July 2017
  • Memories are central building blocks of any processing system, in fact most of the performance of a modern processor is determined by its
    5 KB (769 words) - 15:54, 23 May 2018
  • [[Category:System Design]]
    2 KB (340 words) - 11:55, 21 August 2018
  • [[File:origami-fpga-system.png|400px|thumb]] ...o finish the processing pipeline (activation, pooling), and completing the system by connecting a camera or loading a video stream and displaying the results
    3 KB (397 words) - 18:17, 29 August 2016
  • <!--[[File:origami-fpga-system.png|400px|thumb]] --> [[Category:Hot]] [[Category:Digital]] [[Category:System Design]] [[Category:Completed]] [[Category:Semester Thesis]] [[Category:Mas
    2 KB (285 words) - 18:16, 29 August 2016
  • [1] 3GPP. Cellular System Support for Ultra Low Complexity and Low Throughput Internet of Things. htt
    4 KB (582 words) - 20:00, 26 September 2017
  • [[File:iPMU.png|600px|thumb|right|iPMU within a Generalized System]] ...ilable energy for the system and learn the energy consumption of different system tasks. Moreover, the iPMU should profile the available power input from the
    2 KB (292 words) - 11:40, 2 June 2021
  • ...such as battery or supercapacitor for future use. A correctly dimensioned system will guarantee the node’s operation during periods of energy unavailabili
    3 KB (366 words) - 18:04, 28 January 2017
  • ...rs. In our lab, we have developed a energy management unit, which allows a system designer to provide energy guarantees solely from volatile energy harvestin [[Category:System Design]]
    3 KB (413 words) - 15:21, 28 January 2016
  • [[Category:Software]] [[Category:System]] [[Category:Completed]] [[Category:Semester Thesis]] [[Category:2016]] * Interest in computer vision and system engineering
    5 KB (747 words) - 18:04, 29 August 2016
  • [[Category:System Design]]
    3 KB (426 words) - 11:41, 21 July 2017
  • : VHDL/System Verilog knowledge
    3 KB (377 words) - 10:25, 5 November 2019
  • ...ultra low power consumption are the most important requirements of such a system. For this purpose a processor which only supports the basic instructions is : VHDL/System Verilog knowledge
    3 KB (384 words) - 17:24, 21 August 2019
  • ...wn approach to improve the overall performance of a wireless communication system. The underlying principle is to feed back soft information from the channel [[Category:System Design]]
    3 KB (450 words) - 11:43, 13 November 2018
  • ...w evaluation platform based on the Juno ARM Development Platform [3]. This system combines a modern ARMv8 multicluster CPU with a Xilinx Virtex-7 XC7V2000T F : VHDL/System Verilog, C
    5 KB (711 words) - 10:27, 5 November 2019
  • [[Category:System Design]]
    3 KB (402 words) - 15:31, 13 April 2016
  • [[Category:System Design]]
    3 KB (418 words) - 14:01, 13 November 2020
  • Most of the applications do not need an always-on system and often implement aggressive duty cycling to minimize the average power c *Control system DMA to save/restore L1 data memory
    2 KB (236 words) - 08:35, 20 January 2021
  • ...o maintain as much as possible the general purpouse phylosofy of the whole system.
    2 KB (237 words) - 10:27, 5 November 2019
  • [[Category:System Design]]
    4 KB (555 words) - 16:36, 23 May 2018
  • ...tion of the FPUs and therefore reduce the overall power consumption of the system. We have already designed a FPU unit with support for FP-additions, FP-subt ...dware efficient architecture for a fused multiply-add FPU, implement it in System Verilog and plug it to the RISC-V processor.
    2 KB (346 words) - 10:26, 5 November 2019
  • : Knowledge of a hardware design language such as (System)Verilog or VHDL. [[Category:System Design]]
    4 KB (522 words) - 13:38, 10 November 2020
  • [[Category:System Design]]
    3 KB (403 words) - 20:45, 9 August 2016
  • : 20% VHDL/System Verilog, FPGA Design : VHDL/System Verilog, C
    5 KB (712 words) - 17:57, 7 November 2017
  • ...ngs of arbitrary size, independent of the page size of the Linux operating system running on the host CPU. In a student project [4], a second, set-associativ ...and give access to it to user-space applications through, e.g., an mmap() system call. Ideally, all data shared with the accelerator is placed in this secti
    6 KB (866 words) - 13:43, 29 November 2019
  • ...al-world handset operations in the wide-band code-division multiple-access system. Large-frequency and clock errors are induced at initial search due to an i
    2 KB (340 words) - 10:39, 6 November 2017
  • [4] Scaramuzza et al., Vision-Controlled Micro Flying Robots: From System Design to Autonomous Navigation and Mapping in GPS-Denied Environments, htt
    6 KB (828 words) - 16:26, 20 February 2018
  • ...nd obtrusive storage element. One of the biggest challenges in batteryless system design is the cold start phase, where the harvesting circuit needs to self- Finally a full system which include the energy harvesting and a sensor (a ultra low power camera
    3 KB (485 words) - 17:46, 10 August 2016
  • ...setup a basic ultrasound simulation for a moderate (1024) channel count 3D system based on existing scripts. Then he has to assess several tracking algorithm [[Category:System Design]]
    2 KB (253 words) - 20:52, 12 November 2020
  • [[Category:System Design]]
    2 KB (320 words) - 10:56, 10 January 2017
  • ...nd eventually supply it with energy harvesting from the human body. A full system will be developed that will incldue the processing part, the wireless inter [[Category:System Design]]
    4 KB (631 words) - 11:39, 21 July 2017
  • ...sor and actuator networks (WSANs) possible. These networks are distributed system consisting of nodes with sensors, intelligence and actuator interconnected ...e power consumption reduction, reliability, functionality and optimize the system.
    4 KB (571 words) - 21:42, 30 July 2018
  • ..., televisions, pc among others. The main goal is to achieve an intelligent system that process the data from one or more sensors to understand the context an ...classification accuracy and energy efficiency and to further optimize the system.
    5 KB (669 words) - 17:22, 31 January 2018
  • ...s kind of communication. The project will also focus on how make the whole system self-sustaining using RF energy harvesting or other kind of energy harvesti [[Category:System Design]]
    4 KB (576 words) - 16:58, 28 July 2017
  • ...sor and actuator networks (WSANs) possible. These networks are distributed system consisting of nodes with sensors interconnected by wireless links. We want ...e power consumption reduction, reliability, functionality and optimize the system.
    5 KB (617 words) - 16:22, 27 February 2018
  • ...ard-FPGA and to implement the control sidechannel interface to the backend system (a PC in our case). * Design an interface/API such that the firmware can talk to the backend system (UART based)
    3 KB (458 words) - 20:51, 12 November 2020
  • Using mixed-signal SoCs developed at IIS it is possible to integrate a system to conducting medical research. Despite low power consumption of the system the
    3 KB (366 words) - 13:05, 27 April 2018
  • <!--[[File:origami-fpga-system.png|400px|thumb]] --> [[Category:Hot]] [[Category:Digital]] [[Category:System Design]] [[Category:Semester Thesis]] [[Category:Master Thesis]] [[Category
    3 KB (362 words) - 16:25, 30 October 2020
  • ...nodes. Computing nodes based on ARM SoCs are facing the market, as well as system based on the IBM power architecture. To create more market opportunities IB *Good computer architecture and real-time system background.
    3 KB (462 words) - 15:57, 9 September 2016
  • *Knowledge of the Linux Operating System architecture
    3 KB (417 words) - 15:55, 9 September 2016
  • ...ansport this data-rate efficiently from the head to the backend processing system, we use a optical high-speed link. ...d software IPs. Using these IPs allows to build rather easily very complex system. You will be extensively working with the Xilinx Vivado Tool.
    3 KB (409 words) - 10:55, 10 January 2017
  • ...on the power consumption of those circuits that are always on, like e.g. a system clock. So this topic has seen a lot of attention in recent years. The aim o
    2 KB (368 words) - 18:58, 19 December 2016
  • ...nm CMOS. It will be possible to learn the whole the design cycle including system simulation and layout as a master student, for a semester thesis the work w : 20% System Simulation (Matlab/Simulink)
    3 KB (375 words) - 17:46, 2 May 2017
  • ...nm CMOS. It will be possible to learn the whole the design cycle including system simulation and layout as a master student. : 20% System Simulation (Matlab/Simulink)
    3 KB (358 words) - 11:40, 20 August 2021
  • ...esis]] [[Category:2016]] [[Category:Barandre]][[Category:PULP]][[Category:System Design]] ...nts the software control loop which maximizes the energy efficiency of the system dynamically tracking the PVT variations
    3 KB (348 words) - 15:31, 13 September 2016
  • ...ors that are always on are usually slow and exhibit too much noise. When a system clock is available dynamic comparators are an attractive alternative, as th
    3 KB (362 words) - 17:35, 21 December 2017
  • Mixed-signal system-on-chips (SoCs) often consist of various independent subsystems (e.g., diff
    3 KB (389 words) - 11:20, 14 September 2016
  • The student will design and implement an ultra low power system testing the performances of the whole system by using a commercial RF
    4 KB (609 words) - 11:38, 21 July 2017
  • ...main goal of the design is to optimize the power consumption to allow the system to life several months without change the battery. THe project will be done : Interest in Computer Architectures at system level
    4 KB (502 words) - 11:38, 21 July 2017
  • system.
    6 KB (900 words) - 16:58, 7 May 2018
  • As the key for wireless transceiver system, Phase-locked loop (PLL) is a general solution for frequency synthesize. In
    4 KB (514 words) - 15:51, 20 August 2021
  • #REDIRECT [[System Analysis and VLSI Design of LTE NB-IoT Baseband Processing]]
    79 bytes (11 words) - 09:49, 19 October 2016
  • #REDIRECT [[System Analysis and VLSI Design of NB-IoT Baseband Processing]]
    75 bytes (10 words) - 09:49, 19 October 2016
  • [[Category:System Design]]
    2 KB (259 words) - 11:34, 10 November 2017
  • [[Category:System Software]]
    2 KB (352 words) - 11:51, 21 August 2018
  • ...(IIS) we have been working on a Parallel Ultra-Low Power Processor (PULP) System for the past two years. PULP is intended to be used for near-sensor computi ...e with basic engineering tools (web search, basic usage of Linux operating system, compilers…) and of work independence
    9 KB (1,427 words) - 18:36, 5 September 2019
  • ...ast few years along the entire technological stack, from HW (e.g. the PULP system) to SW running on microcontrollers – in many cases using convolutional ne
    6 KB (909 words) - 19:50, 30 May 2017
  • #REDIRECT [[WCDMA/HSPA+ Synchronization System Design, Implementation, and Testing]]
    84 bytes (9 words) - 15:33, 1 December 2016
  • ...in which the signal is digitized directly in the probe and sent to the US system through an optical fiber. This digitization enables a higher flexibility fo [[Category:System Design]]
    4 KB (521 words) - 10:37, 26 October 2021
  • [[File:Ultralight.jpg|thumb|400px|Current Prototype System]] * Programming of software functions: Microcontroller Programming / Processing system programming (C/C++/CUDA)
    2 KB (254 words) - 14:14, 31 October 2020
  • ...head. The beamformer is the core processing unit in any ultrasound imaging system as it produces the image from the raw sensor data. Similar to other handhel ...figures the beamformer based on real-time temperature sensor data from the system.
    3 KB (374 words) - 20:50, 12 November 2020
  • ...rly defined algorithmic problem and actually test the result in a complete system with real world data.
    2 KB (295 words) - 11:27, 6 November 2017
  • ...ast few years along the entire technological stack, from HW (e.g. the PULP system) to SW running on microcontrollers – in many cases using convolutional ne
    6 KB (920 words) - 16:33, 3 October 2019
  • ...his project we would like to develop a concrete proof of concept low power System-on-Chip where (small) practical applications such as Spiking Convolutional ...connected. With regard to this, a higher-level synaptic array for the full System-on-Chip must be designed, taking into account even more strict area constra
    7 KB (1,000 words) - 12:22, 13 January 2017
  • ...ast few years along the entire technological stack, from HW (e.g. the PULP system) to SW running on microcontrollers – in many cases using convolutional ne
    5 KB (794 words) - 13:19, 13 January 2017
  • * Familiarity with embedded system programming in C. [3] Altium Design System http://www.altium.com/
    6 KB (875 words) - 11:06, 23 February 2018
  • ...classification accuracy and energy efficiency and to further optimize the system. [[Category:System Design]]
    5 KB (703 words) - 17:21, 31 January 2018
  • [[Category:System Design]]
    3 KB (392 words) - 14:17, 5 April 2022
  • Within this project, you will built such a system. It should be able to quickly and robustly scan the labels and provide the ...care, image processing and interface design. A successful implement of the system will improve patient care by reducing the workload on the intensive care st
    2 KB (315 words) - 13:00, 22 February 2017
  • [[Category:System Design]]
    3 KB (462 words) - 13:54, 13 November 2020
  • ...L implementation of HD computing for an EMG-based hand gesture recognition system with fast learning using much lower power than ever before. [[Category:System Design]]
    4 KB (467 words) - 13:38, 10 November 2020
  • * Knowledge of a hardware design language: e.g. (System)Verilog or VHDL
    6 KB (842 words) - 08:37, 20 January 2021
  • ...ry purpose of this project is to contribute to the ''Ergo'' deep inference System-on-Chip by designing HW/SW techniques for the acceleration of aggressively ...f hardware design and computer architecture - having followed the Advances System-on-Chip Design course is recommended
    6 KB (949 words) - 13:41, 10 November 2020
  • ...f hardware design and computer architecture - having followed the Advanced System-on-Chip Design course is recommended * [Conti2017] F. Conti et al., An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics [https://arxi
    6 KB (916 words) - 15:50, 7 December 2018
  • The prototype system on which the source localization application will be implemented is constit ...of sound waves, at the two ears. Similarly to what is done by our auditory system to detect the azimuthal direction of a sound, by looking at the time differ
    7 KB (1,025 words) - 19:52, 30 May 2017
  • [[Category:System Design]]
    4 KB (546 words) - 11:33, 17 April 2020
  • [[Category:System Design]]
    3 KB (372 words) - 20:22, 1 April 2019
  • ...f hardware design and computer architecture - having followed the Advances System-on-Chip Design course is recommended * [Conti2017] F. Conti et al., An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics, [https://arx
    7 KB (1,001 words) - 10:43, 26 June 2017
  • * Computer and System Architecture
    427 bytes (47 words) - 22:24, 4 September 2017
  • [[Category:System Design]]
    3 KB (401 words) - 19:08, 29 January 2021
  • ...e HDL (hardware description language) of your choice (for example VHDL or (System)-Verilog) as thought in VLSI I and II ...lel Computing Systems for Data Analytics class (formerly known as Advanced System on a Chip)
    5 KB (729 words) - 11:27, 11 December 2018
  • [[File:Hyperdimensional-Solar-System.jpg|thumb]] [[Category:System Design]]
    3 KB (366 words) - 15:39, 10 November 2020
  • ...he existing LightProbe prototype with a Wireless LAN module to provide the system with a low-rate (Mbit/s) interface to connect directly with a mobile phone ...ples are provided) and write the required scripts/program on the receiving system (can be a laptop) to capture the sent data.
    2 KB (324 words) - 16:59, 16 September 2022
  • * VHDL or (System)-Verilog knowledge, VLSI I & II
    4 KB (603 words) - 09:37, 10 July 2018
  • both dramatically simplifying the programmability of such a heterogeneous system. ...aluation platform [5] based on the Juno ARM Development Platform [6]. This system combines a modern ARMv8 multicluster CPU with a Xilinx Virtex-7 XC7V2000T F
    6 KB (805 words) - 12:17, 22 January 2018
  • both dramatically simplifying the programmability of such a heterogeneous system. ...aluation platform [5] based on the Juno ARM Development Platform [6]. This system combines a modern ARMv8 multicluster CPU with a Xilinx Virtex-7 XC7V2000T F
    6 KB (801 words) - 15:05, 23 August 2018
  • initiatives such as the Heterogeneous System Architecture foundation (HSA) are access to system memory from both sides, eliminating the need for explicit
    6 KB (865 words) - 12:16, 17 November 2017
  • ...detectors) to reduce the power consumption but also use energy harvesting system such as microbial fuel cell. The communication plays also an important role ...ment of novel zero-power sensors that act as a trigger for the rest of the system when important event is detected and consume zero-power between two detecti
    5 KB (745 words) - 17:21, 31 January 2018
  • [[Category:System Design]]
    3 KB (409 words) - 13:58, 9 November 2017
  • ...sing project, you will explore, design, and implement a neuro-bio-inspired system.
    0 members (0 subcategories, 0 files) - 15:40, 7 August 2017
  • [[Category:System Design]] [[Category:System on Chips for IoTs]]
    4 KB (460 words) - 21:42, 30 January 2018
  • ...iver, digital baseband processing, and an application processor. Such a RF System-on-Chip (RF-SoC) is mandatory to achieve minimal manufacturing costs.
    3 KB (344 words) - 01:45, 10 February 2021
  • ...nology to cellular connectivity by covering dead spots or as a stand-alone system. NB-IoT itself is seen as a possible technology for satellite IoT (sIoT) an ...upport satellite communication channels. A thorough simulative analysis of system performance will enable the identification of critical bottlenecks. These s
    3 KB (393 words) - 13:53, 13 November 2020
  • [[Category:System Design]] [[Category:System Design]]
    3 KB (317 words) - 14:40, 14 April 2021
  • ...bedded image processing. The ambitious goal is to build a self-sustainable system that allows simple image processing to be implemented on-board the device. ...dy the required components for building a miniature ultra-low power camera system and develop a demonstration platform based on our ULP image sensor chip (de
    4 KB (602 words) - 10:45, 31 January 2023
  • both dramatically simplifying the programmability of such a heterogeneous system. ...al of this project is to implement TLB invalidations for our heterogeneous system.
    6 KB (796 words) - 17:19, 18 November 2019
  • ...is a great cellular IoT research opportunity and gives deep insights into system engineering.
    2 KB (269 words) - 13:15, 31 October 2019
  • ...in including RF front-end, dedicated digital baseband hardware, and a CPU system. But, expected area and therefore cost as well as power consumption show ro
    1 KB (217 words) - 11:01, 18 March 2019
  • ...detection and location of such seizures. When aiming a low power implanted system the large amount of data has to be efficiently reduced. iEEG signals are sp
    5 KB (641 words) - 13:36, 9 September 2020
  • #REDIRECT [[System on Chips for IoTs]]
    1 member (0 subcategories, 0 files) - 08:34, 7 November 2017
  • #REDIRECT [[Biomedical System on Chips]]
    3 members (0 subcategories, 0 files) - 08:35, 7 November 2017
  • #REDIRECT [[Biomedical System on Chips]]
    1 member (0 subcategories, 0 files) - 08:35, 7 November 2017
  • ...exploit their theoretical potential is challenging due to the high overall system complexity. ...our chance to explore and work on (almost) any layer of a running computer system and contribute to energy-efficient next-generation computing platforms!
    3 KB (421 words) - 18:41, 28 October 2020
  • ...be deployed on COTS hardware that limit the memory interference within the system, such that real-time guarantees can be provided, enabling the use of these
    2 KB (286 words) - 18:48, 10 November 2020
  • ...ize, and will thus constitute an increasingly larger fraction of the total system power consumption. * '''2020''' - V. Niculescu et Al., "An Energy-efficient Localization System for Imprecisely Positioned Sensor Nodes with Flying UAVs", ''2020 IEEE 18th
    14 KB (2,077 words) - 15:02, 13 June 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (621 words) - 18:09, 9 October 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (549 words) - 12:35, 28 November 2022
  • At IIS, we are exploring the next generation of medical ultrasound system. Our Flagship projects are: ...rable solutions as well as alternatives to the traditional bulky and rigid system designs.
    6 KB (797 words) - 16:16, 23 February 2024
  • ...://iis-projects.ee.ethz.ch/index.php/Biomedical_System_on_Chips Biomedical System on Chips] as well as [http://iis-projects.ee.ethz.ch/index.php/Deep_Learnin
    10 KB (1,341 words) - 10:46, 25 April 2018
  • * Motivation to build and test a real system and acquiring field data [[Category:System Design]]
    7 KB (1,003 words) - 17:30, 6 December 2021
  • [[Category:System Design]] [[Category:System Design]]
    3 KB (354 words) - 16:06, 6 May 2019
  • ...t the FPGA hardware using Verilog or VHDL. You will use Xilinx ISE, Xilinx System Generator, Chipscope, Modelsim, Matlab and Mathamatica as development tools [[Category:System Design]]
    5 KB (599 words) - 09:03, 21 December 2017
  • [[Category:System Design]] [[Category:System Design]]
    3 KB (329 words) - 11:43, 20 August 2021
  • ...classification accuracy and energy efficiency and to further optimize the system. [[Category:System Design]]
    5 KB (697 words) - 13:36, 11 January 2018
  • 3D sonar sensors. The proposed system architecture will be developed around an Ultra low power parallel processor * Design of the full system to achieve an autonomous sensor. (PCB design, Low power Techniques, etc.)
    4 KB (518 words) - 11:40, 2 February 2018
  • ...he student he can be involved on the design of the IC, the layout, or at a system and application levler. The wake-up receiver should achieves power consumpt ...classification accuracy and energy efficiency and to further optimize the system.
    5 KB (686 words) - 11:54, 2 February 2018
  • ...on the human body. The student will work to design a whole application and system exploiting the zero-power communication receiver/sensor. ...classification accuracy and energy efficiency and to further optimize the system.
    4 KB (585 words) - 11:58, 2 February 2018
  • * Familiarity with embedded system programming in C.
    5 KB (623 words) - 16:14, 20 February 2018
  • * Familiarity with embedded system programming in C.
    7 KB (1,008 words) - 16:20, 20 February 2018
  • ...tions, the student will apply the identified modifications to the existing system and develop the additional software tasks. Lastly, an evaluation of the pro * Familiarity with embedded system programming in C.
    6 KB (842 words) - 16:18, 20 February 2018
  • The largest part of any aerial vehicle’s power budget is the mechanical system. A blimp, however, requires significantly less power for horizontal propuls * Familiarity with embedded system programming in C.
    6 KB (914 words) - 16:17, 20 February 2018
  • Pasquale Davide Schiavone is a PostDoc at the Embedded System Laboratory at EPF Lausanne, and former PhD student at the Integrated System * Computer and System Architecture
    2 KB (192 words) - 17:22, 15 August 2022
  • ...nce of several underlying life-long processes, e.g., respiration, vascular system dynamic, muscle contraction. ...ngs vision, the point-of-contact electronic that interfaces the biological system with the cloud-based digital world is very critical due to unique specifica
    2 KB (327 words) - 19:55, 22 February 2018
  • [[Category:Biomedical System on Chips]] ...ld you choose to accept it, is to join our active research into biomedical system design. The approach is to used state-of-the-art machine learning algorithm
    4 KB (597 words) - 19:15, 9 March 2020
  • ...novel solutions to reducing the energy consumption of such devices on the system-level are required. One of the key ideas in event-driven computing is the r * Basic knowledge of the C language and embedded system programming
    3 KB (433 words) - 15:16, 4 August 2022
  • In January 2017 I joined the Integrated System Laboratory (IIS) of the Swiss Federal Institute of Technology of Zurich. I ...focused on the development of new power management policies for modern ULP System-on-Chip (SoC) Both from a technological and architectural perspective, as w
    2 KB (199 words) - 23:51, 25 February 2018
  • ...ification is to provide guarantees on freedom from interference within the system, enabling strict guarantees on the completion of real-time tasks before the ...memory system at one point in time. To avoid stalling the program when the system is not permitting memory accesses from the program in question, the memory
    5 KB (706 words) - 17:41, 19 June 2019
  • ...onomous driving system for the automotive domain, and a visual recognition system for the avionic domain."
    10 members (0 subcategories, 0 files) - 16:15, 24 February 2018
  • ...ification is to provide guarantees on freedom from interference within the system, enabling strict guarantees on the completion of real-time tasks before the The goal of this project is to design and integrate a full system configuration of CPU and GPU applications that can be executed concurrently
    4 KB (499 words) - 17:40, 19 June 2019
  • #REDIRECT [[BLISS - Battery-Less Identification System for Security]]
    69 bytes (8 words) - 14:54, 25 April 2018
  • [[Category:Biomedical System on Chips]]
    3 KB (358 words) - 15:59, 18 February 2019
  • Error creating thumbnail: File with dimensions greater than 12.5 MP
    File:Brunn pulse oxi.jpg
    Wearable pulse oximetry system developed at IIS with Android tablet.
    (5,267 × 4,000 (5.16 MB)) - 14:42, 27 April 2018
  • ...rs that implements the RISC-V ISA. It has been designed for small embedded system platforms mostly used in IoT devices. Its ISA implements RISC-V's RV32IMFC ...build a testbench for the core to test the IP isolated by the rest of the system. (~3-4 weeks)
    4 KB (661 words) - 08:38, 20 January 2021
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    3 KB (381 words) - 14:17, 28 January 2023
  • ====[[Biomedical System on Chips|Biomedical System on Chips]]==== ===[[Biomedical System on Chips|Biomedical System on Chips]]===
    2 KB (311 words) - 12:02, 5 December 2018
  • ...studies in (primary+) schools we're developing an interesting edutainment system for kids together with the PR of D-ITET and [http://www.wysszurich.uzh.ch w The idea is to create a playful, central interaction system accompanied by distributable modules for the kids to solve and play with.
    3 KB (447 words) - 16:11, 18 September 2018
  • ...c capacitance, a minimum amount of energy needs to be harvested before the system can do useful work. A specially designed cold-start circuit can significant ...ll investigate and design a new cold-start circuit that aims to reduce the system’s start-up time. Depending on the chosen architecture, the choice of MPPT
    5 KB (638 words) - 15:41, 10 November 2020
  • ...s thesis it will be possible to learn the whole the design cycle including system simulation and layout as a master student, for a semester thesis the work i : 20% System Investigations (Calculation, Matlab)
    2 KB (359 words) - 16:06, 6 May 2019
  • ...f needed dedicated hardware blocks can be deisgned and integrated into the system. If time allows the performance of the implementation will be verified with [[Category:System Design]]
    4 KB (566 words) - 15:50, 9 February 2021
  • : 40% System Simulation (Matlab/Simulink)
    2 KB (356 words) - 15:55, 6 May 2019
  • ...hanism both for sub-systems on the chip level as well as components on the system level, e.g. flash memory or radio ICs. This project focuses on chip-level d ...dictates battery size, the most critical factor in any volume-constrained system.
    4 KB (597 words) - 16:57, 12 July 2022
  • ...e thesis it will be possible to learn the whole the design cycle including system simulation and layout as a master student, for a semester thesis the work i
    2 KB (347 words) - 11:43, 20 August 2021
  • ...and not in the chips that we designed ourselves, rendering many low-level system management jobs challenging and cumbersome. In this project, you will exten *Some experience with hardware design (VHDL/(System-)Verilog), for example completion of VLSI I lecture
    2 KB (240 words) - 16:57, 12 July 2022
  • File:Biomed prop system.png
    System overview of the VivoSoC biomedical platform.
    (1,335 × 524 (363 KB)) - 17:06, 22 May 2018
  • * Identify critical network performance parameters to be integrated in the system design * Implement a BLE mesh reference system by compiling Nordic’s SIGMesh stack onto the Thingy52 IoT dev kits (or si
    5 KB (685 words) - 15:34, 10 November 2020
  • ...m mainly responsible for the digital part of the mixed-signal [[Biomedical System on Chips|biomedical platform around VivoSoC]] and a key component (synchron
    1 KB (162 words) - 15:55, 23 May 2018
  • ...iomedical acquisition and processing platform is standalone operation: The system must be able to provide all required supply voltages and clock frequencies [[Category:Biomedical System on Chips]]
    2 KB (268 words) - 16:57, 12 July 2022
  • [[Category:System Design]]
    5 KB (622 words) - 15:36, 10 November 2020
  • ...classification accuracy, and energy efficiency and to further optimize the system. [[Category:System Design]]
    5 KB (631 words) - 15:36, 10 November 2020
  • ...ring this project you will map a 32bit PULPino/PULPissimo micro-controller system to the Altera DE-10 Lite board, adapt the design flow so that prospective u [[Category:System Design]]
    4 KB (497 words) - 16:50, 21 June 2018
  • ...ing” portion of the signal. This reduce the bandwidth from the recording system depending on the signal activity (more interesting events --> higher bandwi In the context of action potentials, a neural recording system can send to a digital processor only the spikes and do not send anything wh
    8 KB (1,269 words) - 18:40, 5 September 2019
  • ...a solution to be used in our high-performance GPU-based ultrasound imaging system. * Implementation of the RDMA subsystem for our ultrasound system
    2 KB (340 words) - 16:58, 16 September 2022
  • ...with the functions provided by the body itself. Human Intranet presents a system vision in which, for example, disease would be treated by chronically measu * '''System-level design and testing''' (Altium, C-programming)
    17 KB (2,419 words) - 20:09, 10 March 2024
  • ...rrival (OTDOA) such as covered in [[Implementation of a NB-IoT Positioning System]] or [[OTDOA Positioning for LTE Cat-M]]
    1 KB (204 words) - 13:14, 31 October 2019
  • ...r rhythms (SMR) and movement-related cortical potentials (MRCP). SMR-based system make use of event-related synchronisation/desynchronisation (ERD/ERS) behav
    4 KB (594 words) - 09:18, 16 September 2021
  • ..., non-invasive method to measure kidney performance via an external sensor system to avoid the use of urinary catheters for this subgroup of patients, thereb ...classification accuracy, and energy efficiency and to further optimize the system. The work includes the modeling and design of a suited impedance sensor, it
    6 KB (857 words) - 15:37, 10 November 2020
  • ...ional demands on hospital staff. The goal of the project is set-up a whole system that includes readers and mobile tags. For example in the case of the RFID, [[Category:System Design]]
    6 KB (780 words) - 15:37, 10 November 2020
  • ...imaging only and has only been demonstrated with large research ultrasound system. ...t Microbubbles (this may requires to modify the imaging method used by the system)
    3 KB (391 words) - 20:49, 12 November 2020
  • ...al ultrasound probe to capture the raw sensor data and transfer it to a PC system for fully software-defined processing, offering unprecedented flexibility a ...we at IIS have demonstrated that this novel architecture is feasible for a system with 64 channels ([[LightProbe]]), it yet has to be demonstrated that this
    2 KB (250 words) - 20:48, 12 November 2020
  • ...esinged node will also include energy harvesting to allow a self-sustainig system. [[Category:System Design]]
    5 KB (744 words) - 15:37, 10 November 2020
  • [[Category:System Design]]
    4 KB (594 words) - 15:38, 10 November 2020
  • '''Embedded stereo visual inertial system''' ...omputing system. In addition, some image processing should be done at this system to reduce the overhead of the network and to compute the first steps on the
    6 KB (895 words) - 16:27, 30 October 2020
  • ...eting two application scenarios: behind-the-ear EEG and ECG wristband. The system will also be used to explore applications scenarios for augmenting current [[Category:System Design]]
    6 KB (761 words) - 15:38, 10 November 2020
  • ...l set of basic operations on high-dimensional vectors, we obtain hybrid AI system (HAS) that makes it possible to represent and manipulate data in ways famil *General interest in Deep Learning and memory/system design
    9 KB (1,330 words) - 15:20, 15 March 2024
  • ...iM accelerator and integrate the accelerator into a modern microcontroller system. 4. Verify functionality of the system
    3 KB (352 words) - 18:02, 16 December 2022
  • [[Category:System Design]] [[Category:System on Chips for IoTs]]
    5 KB (614 words) - 15:02, 4 March 2019
  • ...ch mode as well as the non-critical application should allow to reduce the system complexity significant and facilitates, therefore, a higher integration and ...erization of a discrete small size low power RF transmitter in an embedded system: prototype development, verification of the prototype's characteristics w.r
    5 KB (729 words) - 10:02, 22 February 2021
  • [[Category:System Design]]
    5 KB (714 words) - 08:37, 23 November 2022
  • ...ormation on the structure of musculoskeletal tissues, organs, and vascular system. Recent research trends include the development of wearable US probes, with ...ign a dedicated casing to facilitate the usage of the probe and making the system a real product.
    3 KB (361 words) - 19:02, 6 December 2023
  • ...ion and the classifier are meant to be implemented on a low-power embedded system. Given the constrained conditions under which we operate, i.e. implantable : Embedded system programming
    5 KB (634 words) - 19:20, 9 March 2020
  • ...ormation on the structure of musculoskeletal tissues, organs, and vascular system. Recent research trends include the development of wearable US probes, with [[Category:System Design]]
    3 KB (337 words) - 18:42, 6 December 2023
  • ...ormation on the structure of musculoskeletal tissues, organs, and vascular system. Recent research trends include the development of wearable US probes, with ....org/document/9630034] UStEMG: an Ultrasound Transparent Tattoo-based sEMG System for Unobtrusive Parallel Acquisitions of Muscle Electro-mechanics
    3 KB (437 words) - 19:03, 6 December 2023
  • Motivation to build and test a real system
    3 KB (363 words) - 14:38, 14 April 2021
  • ...roject focusses on the development of an unobtrusive multisensory embedded system to assist coaches to better quantify jumping trajectories of athletes. With ...perceptible to the athlete so as not to disturb his/her sensitive jumping system.
    6 KB (820 words) - 12:13, 23 July 2023
  • Our project is designed to provide a reliable and efficient communication system that is optimized for IoT applications. Our project incorporates advanced p
    2 KB (243 words) - 11:44, 14 February 2023
  • ...d data compression. The project will require simulation and testing of the system to verify its performance, power consumption, and compatibility with differ * Experience with System Verilog or Verilog, VLSI 1
    2 KB (250 words) - 18:21, 20 February 2023
  • ...are, we can guarantee a high flexibility of the setup. This means that the system can be adapted in operation for a wide variety of transducer types and setu The main goal of this work is to develop a modular system for the characterization and tuning of ultrasonic transducers both in hard-
    5 KB (644 words) - 18:18, 21 July 2023
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (551 words) - 11:06, 11 July 2019
  • ...A, configuration of the SDR, and the evaluation in Matlab. Eventually, the system has to be tested thoroughly. ...plore.ieee.org/document/8351613] A. Moin et al, An EMG Gesture Recognition System with Flexible High-Density Sensors and Brain-Inspired High-Dimensional Clas
    5 KB (731 words) - 16:42, 27 August 2019
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (517 words) - 17:09, 16 September 2021
  • [[File:nvdla_memory.png|right|NVDLA Memory System and High-Level Architecture]] ...hardware design and computer architecture -- having followed the "Advanced System-on-Chip Design" or "Energy-Efficient Parallel Computing Systems for Data An
    6 KB (799 words) - 13:42, 10 November 2020
  • ...propose to build the next OPEN-SOURCE RISC-V programmable smart-peripheral system for the Ariane Core. ...ct the PULPissimo microcontroller to the Ariane coreplex and map the whole system to the FPGA. Note that Ariane has already been mapped to the FPGA and it is
    7 KB (1,030 words) - 19:05, 29 January 2021
  • Now we would like to upgrade the system by adding advanced machine learning capabilities for sensor fusion and repl ...age and frequency scaling (ADVFS) within the chip. In the end, upgrade the system with your energy harvesting solution.
    4 KB (522 words) - 10:45, 31 January 2023
  • ...is thesis, you will design a novel heterogeneous interconnect for the PULP system to connect high-throughput hardware accelerators to ...f hardware design and computer architecture - having followed the Advances System-on-Chip Design course is recommended
    7 KB (961 words) - 21:21, 29 January 2019
  • ...act''' [Ge2018a], [Ge2018b]. Security is and has always been the operating system's (OS) job. For instance, memory protection is already well established - ' ...hardware design and computer architecture -- having followed the "Advanced System-on-Chip Design" or "Energy-Efficient Parallel Computing Systems for Data An
    6 KB (915 words) - 18:16, 20 May 2020
  • [[Category:System Design]] [[Category:System on Chips for IoTs]]
    5 KB (623 words) - 10:32, 5 November 2019
  • ...ectrometer may be used in combination with different devices, the designed system should be easily adaptable to different use cases.
    4 KB (506 words) - 18:26, 5 November 2019
  • ...ct the way biomechanical measurements are performed today, as the proposed system should be easy and quick to use (e.g., time is key for testing patients in [[Category:System on Chips for IoTs]]
    6 KB (735 words) - 12:12, 23 July 2023
  • Now, we want to equip the system with a 192 element sensor to achieve better image quality (resolution, cont ...and electrical constraints to be properly be intergated with the existing system.
    2 KB (263 words) - 20:47, 12 November 2020
  • ...ly. In contrast to approximate computing where the precision of the entire system is reduced - often incurring loss in result quality - transprecision comput * [Conti2017] F. Conti et al., An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics, [https://arx
    8 KB (1,135 words) - 17:09, 29 July 2020
  • * Simulation of the ultrasound imaging system to evaluate the idea (feasibility & performance) * Implement the idea on our system (only in case of a Master Thesis)
    2 KB (220 words) - 20:46, 12 November 2020
  • ...t fading channels is thus needed to improve the performance of the current system in such scenarios.
    3 KB (415 words) - 18:54, 29 October 2020
  • ...iously developed digital baseband receiver block integrated in a processor system shall be used as a starting point. As a first step you will identify the in
    3 KB (431 words) - 21:47, 18 November 2019
  • ...he occurrence of ionization events due to cosmic radiation. The monitoring system has to acquire the frequency of the ionizing events and the amount of the c : • Design the monitoring system
    4 KB (493 words) - 16:31, 5 June 2019
  • **system: ***src: Top-level and auxiliary files for the core-v-mcu system.
    9 KB (1,314 words) - 00:01, 7 February 2021
  • ...ification is to provide guarantees on freedom from interference within the system, enabling strict guarantees on the completion of real-time tasks before the ...memory system at one point in time. To avoid stalling the program when the system is not permitting memory accesses from the program in question, the memory
    4 KB (531 words) - 18:00, 19 June 2019
  • ...ification is to provide guarantees on freedom from interference within the system, enabling strict guarantees on the completion of real-time tasks before the ...memory system at one point in time. To avoid stalling the program when the system is not permitting memory accesses from the program in question, the memory
    3 KB (466 words) - 18:20, 19 June 2019
  • ...E capacitive sensing: pass information across an air gap. Design analogous system to perform a measurement task. For reference: https://www.st.com/en/solutio 5: Consumable ID: Design a new data frame of credit card reader/writer style system. Current format is 12mm in length, 8mm length required.
    5 KB (645 words) - 15:41, 10 November 2020
  • * Computer and System Architecture
    890 bytes (104 words) - 14:16, 2 November 2020
  • ...pikes due to cosmic rays being captured by sequential elements, taking the system into a faulty state.
    6 KB (980 words) - 14:46, 2 June 2021
  • #REDIRECT [[PULP in space - Fault Tolerant PULP System for Critical Space Applications]]
    88 bytes (13 words) - 13:10, 9 August 2019
  • [[Category:System Design]]
    2 KB (305 words) - 15:28, 23 October 2023
  • * Motivation to build and test a real system [[Category:System Design]]
    4 KB (519 words) - 15:41, 10 November 2020
  • * Computer and System Architecture
    927 bytes (111 words) - 00:39, 24 January 2021
  • ...ortant component in sevral cyber-physical systems. Among other, the vision system of a self-driving takes advantage of DNNs to better recognize pedestrians, * Motivation to build and test a real system
    4 KB (509 words) - 15:41, 10 November 2020
  • ...rphic intelligence using their processor to build a whole working embedded system. The student will deal with both hardware and software building a prototype * Motivation to build and test a real system
    5 KB (692 words) - 15:45, 10 November 2020
  • ...ock diagram, which involves both the programming of a Low power FPGA and a System on Chip with ARM cortex-M4F and Bluetooth low energy 5.0. The project is qu * Motivation to build and test a real system
    4 KB (526 words) - 15:48, 10 November 2020
  • * Motivation to build and test a real system [[Category:System Design]]
    4 KB (500 words) - 15:48, 10 November 2020
  • <!-- Manycore System on FPGA --> At ETH, we are developing our own many-core system called MemPool [1]. It boasts 256 lightweight 32-bit Snitch cores developed
    8 KB (1,319 words) - 10:41, 6 July 2021
  • ...essors. This ''separation of compute acceleration and control'' limits the system's flexibility and real-world performance as communication and data exchange
    7 KB (917 words) - 17:04, 24 November 2023
  • : 80% System Development [[Category:System on Chips for IoTs]]
    5 KB (584 words) - 12:09, 29 October 2020
  • 4. test and assess system level functionality, and provide post layout power/performance estimations
    4 KB (651 words) - 19:10, 29 January 2021
  • ...performing one, the student will proceed with embedded implementation and system integration in order to demonstrate a real-life application using sensor ac
    2 KB (317 words) - 11:10, 21 July 2020
  • ...as a basis for prototyping the BirdGuard algorithms and the deterrence sub-system. Swiss research institutes are at the forefront of researching birds and ap The BirdGuard system aims to complement existing passive approaches by providing an easy-to-use
    5 KB (647 words) - 08:32, 23 February 2023
  • ...ly transmitting, easy to install and cost-effective for wind turbines. The system will integrate novel embedded signal processing solutions, including artifi ...classification accuracy, and energy efficiency and to further optimize the system. Energy Harvesting can be also employed to design the sensor node, and this
    5 KB (737 words) - 15:48, 10 November 2020
  • 4. Quantize and deploy the network on a PULP system equipped with modular SNN accelerator, and evaluate the accuracy loss cause
    4 KB (644 words) - 19:10, 29 January 2021
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    7 KB (882 words) - 14:33, 28 July 2021
  • * [Conti2017] F. Conti et al., An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics, [https://arx
    5 KB (759 words) - 09:18, 16 September 2021
  • ...ulation, data pre-processing, wireless power and data transfer and overall system control. Data is generally processed inside the implant in the digital doma
    3 KB (381 words) - 11:22, 21 July 2020
  • [[Category:System Design]]
    5 KB (628 words) - 12:51, 17 April 2020
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (662 words) - 13:31, 10 May 2023
  • ...ented in a neuromorphic processor, and none of them are presenting a whole system from the data acquisition to the processing. ...of the present project is to investigate and develop a novel neuromorphic system for Brain–computer interfaces, trained for multi-class motor-imagery, th
    6 KB (815 words) - 20:02, 10 March 2024
  • ...isfy electrical constraints to be properly be intergated with the existing system.
    5 KB (620 words) - 07:56, 26 May 2020
  • ...detection and location of such seizures. When aiming a low power implanted system the large amount of data has to be efficiently reduced. iEEG signals are sp
    5 KB (636 words) - 20:01, 10 March 2024
  • : Embedded system programming
    5 KB (619 words) - 19:58, 10 March 2024
  • ...ehicles. There are several embodiments of the PULP paradigm, one of them a system-on-chip (SoC) called ''Mr.Wolf''[5]. This SoC features 9 cores, divided int
    8 KB (1,117 words) - 22:17, 26 January 2022
  • [[File:GL_dpalossi.png|thumb|right|1000px|Overview of the cyber-physical system.]] ...tiple workloads thanks to a parallel ultra-low power octa-core (PULP) GAP8 System-on-Chip [6].
    4 KB (571 words) - 12:11, 27 January 2022
  • ...f hardware design and computer architecture - having followed the Advances System-on-Chip Design course is recommended * [Conti2017] F. Conti et al., An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics, [https://arx
    7 KB (1,032 words) - 15:31, 16 November 2020
  • ...ergy-efficient integrated circuit design for embedded machine learning and system-level design of prototypes for always-on smart circuits. * System-level Prototype & PCB Design
    1 KB (138 words) - 13:50, 20 July 2020
  • ...oncept.png|thumb|350px|Concept art for ''Manticore'', a Snitch-based 22 nm system with 4096 cores on multiple chiplets and with HBM2 memory.]] ...ing chips with industry-grade automated test equipment (ATE) and design of system-level demonstrator boards.
    11 KB (1,337 words) - 10:54, 25 January 2024
  • ...s is to have Halide programmed image processing kernels running on an HERO system implemented on an FPGA.
    5 KB (737 words) - 17:26, 2 November 2020
  • <!-- (M): A Flexible Peripheral System for High-Performance Systems on Chip --> One of the most tedious and error-prone steps is assembling a peripheral system with the required and desired IO; this usually involves adapting existing p
    11 KB (1,675 words) - 15:40, 15 March 2021
  • ...0px|alt=A HERO system with a Zynq MPSoC coupled to a Snitch cluster|A HERO system with a Zynq MPSoC coupled to a Snitch cluster]] ...onents are meant to be exchangeable. HERO features a shared virtual memory system between host and accelerator and provides a heterogeneous compiler toolchai
    11 KB (1,617 words) - 23:59, 6 February 2021
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (513 words) - 14:16, 24 November 2021
  • * 25% System programming
    4 KB (563 words) - 20:08, 15 February 2021
  • ...everaging the DaCe framework to generate code for ETH’s Snitch processor system.
    2 KB (333 words) - 20:05, 15 February 2021
  • * Computer and System Architecture
    348 bytes (39 words) - 17:13, 27 October 2020
  • [[Category:System Design]]
    3 KB (404 words) - 10:05, 9 February 2021
  • Modern heterogeneous System-on-Chips (SoCs) are cost-efficient and feature the processing power requir
    2 KB (313 words) - 11:47, 2 November 2020
  • ...n technique is to combine multiple instances of a core to a ''multi-core'' system. This technique introduces a new challenge: Each core keeps its own copy of * a coherent memory system must be able to invalidate or update specific cache lines, and to request s
    3 KB (395 words) - 16:32, 15 November 2022
  • ...l work with Ultrasound Images acquired with a high-end Ultrasound research system, to build ML algorithms for the extraction of such physiological features. [[Category:System Design]]
    2 KB (258 words) - 15:28, 23 October 2023
  • [[Category:System Design]]
    3 KB (378 words) - 16:57, 16 September 2022
  • The [[LightProbe]] system is designed to acquire ultrasound data for many different applications (e.g ...of LightProbe, you will identify the approach to use to include TCG in the system, and, once implemented, you will perform ultrasound test measurements on ph
    2 KB (318 words) - 16:58, 16 September 2022
  • The students will work on a Smart Meter, an IoT system based on: The system will periodically wake up, take a picture, process the image extracting the
    3 KB (396 words) - 10:14, 23 August 2023
  • ...novel solutions to reducing the energy consumption of such devices on the system-level are required. One of the key ideas in event-driven computing is the r * Basic knowledge of the System Verilog or VHDL language and circuit design (VLSI 1)
    3 KB (449 words) - 08:41, 17 February 2021
  • My research is focused around low-power and event-driven system solutions for Digital signal processing, Machine Learning and the Internet
    1 KB (153 words) - 10:56, 5 November 2020
  • ...IPs is the norm, but becomes more difficult and error-prone the larger the system becomes. ...simply a loose collection of scripts and templates shipped with the Snitch system [https://github.com/pulp-platform/snitch]. You will
    4 KB (617 words) - 10:19, 3 November 2023
  • #REDIRECT [[Implementation of a Heterogeneous System for Image Processing on an FPGA (S)]]
    90 bytes (13 words) - 17:26, 2 November 2020
  • ...s private memory banks---this, however, impacts the programmability of the system. ...eved through a cache hierarchy, which impacts the energy efficiency of the system through its non-negligible power consumption.
    8 KB (1,196 words) - 10:41, 6 July 2021
  • ...ature extraction, and since it has been showed that the performance of the system can be largely influenced by this choice, the aim of this project is to do ...representation of the signal to the actual response of the human auditory system. The derivation techniques are described in detail in [[#ref-lyonmfcc|&#91;
    13 KB (1,815 words) - 13:31, 15 February 2024
  • #REDIRECT [[Physical Implementation of MemPool, PULP's Manycore System (1M/1-2S)]]
    82 bytes (11 words) - 17:40, 2 November 2020
  • : 80% System Development [[Category:System on Chips for IoTs]]
    8 KB (931 words) - 17:27, 23 November 2021
  • * Computer and System Architectures
    1 KB (120 words) - 15:52, 9 August 2023
  • ...ure 1 shows the block diagram of HERO. It features a shared virtual memory system between host and accelerator and provides a heterogeneous compiler toolchai ...ftware to interact with a PMCA, such as MemPool. In this step, the MemPool system will be integrated and connected to HERO’s accelerator interface.
    6 KB (902 words) - 19:07, 20 January 2021
  • * Synthesize your controller and the surrounding system; create area and timing reports. * Implement your system on an ASIC and tape it out.
    8 KB (1,214 words) - 15:18, 9 July 2021
  • # Design and verify a ternary compression algorithm in System Verilog or VHDL * Basic knowledge of System Verilog or VHDL and digital circuit design (VLSI 1)
    3 KB (438 words) - 08:41, 17 February 2021
  • .../>[[#ref-RISCV_P|8]]]. The set of instructions that should be added to the system is not fixed, but the student should implement and evaluate different image
    9 KB (1,311 words) - 00:08, 13 March 2021
  • A cell-free system is a network formed by distributed access points (APs) over a large area co ...tudied in [3]. Last, to solve the lack of usage of all APs in this type of system, [4] presents an energy efficient AP sleep mode-technique that is able to d
    7 KB (882 words) - 21:34, 13 July 2022
  • [[File:Image_RIS.png|400px|thumb|RIS aided wireless system.]] In [1], a RIS is used in the downlink of a MIMO system to investigate the improvement provided by these devices in terms of energy
    8 KB (1,011 words) - 12:25, 16 November 2023
  • File:Figure practica ris.png
    An RIS-aided MIMO system [4].
    (811 × 477 (23 KB)) - 18:50, 5 November 2020
  • ...ch topics are actively ongoing around the human body, from chip design, to system development, to algorithmic investigations in various application scenarios [[File:ustemg.jpg|thumb|left|120px| Ultrasound Transparent EMG System]]
    9 KB (1,292 words) - 19:16, 23 March 2024
  • * How much power does a Snitch system consume, what is the minimum power required, and can we compete with a micr * Familiarize yourselves with the Snitch system
    2 KB (365 words) - 20:03, 15 February 2021
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (653 words) - 11:08, 12 November 2020
  • ...the signals transmitted by the individual antenna elements, providing the system with the capability to “beamform,” that is, to control the direction an : 70% System development
    6 KB (829 words) - 11:37, 12 November 2020
  • ...the project is to then implement Deep Unfolding on a resource-constrained system, like a Raspberry Pi. This project requires familiarity with calculus and p : 20% Software implementation in resource-constrained system
    6 KB (748 words) - 13:57, 12 November 2020
  • [[Category:System Design]]
    3 KB (389 words) - 01:43, 10 February 2021
  • ...as complete autonomous drones. The students will follow the full flow from system design to firmware implementation and they can also deal with machine learn * A complete hardware and software prototype of drones and smart sensor system, which includes all the subsystems (sensor acquisition, preprocessing, and
    5 KB (646 words) - 11:39, 30 November 2020
  • ...a team, they will learn how to structure problems and identify solutions, system analysis, and simulation, as well as presentation and documentation techniq * Motivation to build and test a real system and acquiring field data
    7 KB (1,033 words) - 16:24, 30 November 2020
  • * Computer and System Architecture
    890 bytes (104 words) - 18:33, 8 December 2020
  • ...goal of the present project is to investigate and develop a novel embedded system for acquiring and processing short range data with machine learning. Accord ...ncrease the response time of the detection, aiming to achieve an always-on system.
    5 KB (692 words) - 12:46, 17 December 2021
  • ...onnecting 4 Baikonur ASICs through their serial links, creating a 104-core system with both application- and HPC-grade cores. ...onality. However, a lot of work still needs to be done to ''bring up'' the system so we can turn use it as an impressive evaluation and demonstration platfor
    11 KB (1,602 words) - 15:19, 9 July 2021
  • ...is equipped with a wireless link, which is the main power consumer of the system. [[Category:System Design]]
    2 KB (273 words) - 16:57, 16 September 2022
  • ...ulation, data pre-processing, wireless power and data transfer and overall system control. Data is generally processed inside the implant in the digital doma
    3 KB (388 words) - 09:25, 16 September 2021
  • ...his coordination can limit the potential speedup offered by the multi-core system according to Amdahl’s law [[#ref-Hennessy2017|&#91;1&#93;]]. Efficient m ...uch an instruction requires the support of the interconnect and the memory system. We recently developed and published ATomic UNit (ATUN) [[#ref-Kurth2020|&
    12 KB (1,864 words) - 12:08, 29 August 2022
  • ...the reference oscillator responsible for delivering the main clock of the system. The latter will be more challenging with adjustable input and output volta
    2 KB (257 words) - 11:38, 20 August 2021
  • ...ool’s flexibility by having a duality of modes. The result is a flexible system that achieves a very high throughput for systolic workloads. ...y. Therefore, you drastically reduce the power consumption and improve the system’s efficiency. Again, the impact of this network has to be analyzed in Mem
    13 KB (1,887 words) - 15:51, 17 November 2021
  • ...f this project is to take a step towards a fully-featured autonomous Linux system based on Ariane with extensive user interaction support. To this end, you w ...his project, you will learn to work with and extend an advanced processing system all the way from the RTL/hardware level to the Linux kernel and userspace l
    7 KB (1,122 words) - 15:21, 9 July 2021
  • ...CB for the iCE40 family to facilitate measurements and/or demonstrate your system in action. Can we compete with a microcontroller in terms of performance an
    8 KB (1,220 words) - 15:18, 9 July 2021
  • * Extending DaCe to generate efficient code for a Snitch system from SDFGs, ideally for the existing Snitch-HERO platform. ...isting C++ DaCe backend to emit LLVM-compilable code for a manycore Snitch system like Snitch-HERO. Validate your implementation on simple kernels or selecte
    11 KB (1,519 words) - 15:20, 9 July 2021
  • * Basic knowledge of the C language and embedded system programming
    2 KB (366 words) - 15:53, 11 October 2021
  • ...pport for sub-byte arithmetic operations (e.g., 16x2b MAC) and construct a system around the improved core, which will be taped out. You get to build your ow
    5 KB (768 words) - 15:14, 4 August 2022
  • [[Category:System Design]]
    3 KB (463 words) - 08:38, 23 November 2022
  • ...e user’s characteristics can considerably improve the performance of the system. We want to explore how the user-specific features can be exploited in orde ...ility of those features belonging to a certain class. A schematic of a KWS system can be seen in Figure 1.
    11 KB (1,610 words) - 11:00, 14 November 2022
  • ...A countermeasure on top of the existing design, and 3) drive the resulting system through an ASIC tapeout process. : 60% VHDL/System Verilog, ASIC Design
    6 KB (849 words) - 18:43, 23 November 2021
  • *General interest in Deep Learning and memory/system design ...p-digital-ic-design-engineer HW Design and Enhancement for ML Acceleration System] || AI Acceleration || digital VLSI design || [mailto:renzo.andri@huawei.c
    6 KB (799 words) - 11:11, 1 August 2022
  • ...sor. The project can be done in the context of a single-core or multi-core system such as PULP where the accelerator is shared by multiple Ibex cores.
    6 KB (835 words) - 12:52, 27 April 2021
  • * Test the throughput of the system and corresponding power consumption. [[Category:System Design]]
    2 KB (240 words) - 16:56, 16 September 2022
  • ...Thus, wireless transmission of non-relevant information makes the overall system inefficient. ...result in power saving, and thus increased operation time of the wearable system.
    3 KB (364 words) - 18:42, 6 December 2023
  • ...work on the development of hardware and software for a complete biomedical system.
    797 bytes (90 words) - 16:37, 23 February 2022
  • Modern system-on-a-chip is usually an integration of heterogeneous building blocks. Such
    2 KB (203 words) - 18:03, 16 December 2022
  • ...while reducing the damage caused by the implantation [1][2]. However, such system also poses stringent constraints on the power consumption and area. 2. Get familiar with the dataset and the system
    4 KB (519 words) - 16:16, 9 January 2023
  • 2. Get familiar with the dataset, the system, and the deep learning framework
    5 KB (662 words) - 20:05, 10 March 2024
  • ...ully applied to the problem to increase the energy efficiency of the final system. One such class of networks are ternary neural networks (TNNs), where all w
    3 KB (404 words) - 09:54, 8 March 2023
  • At ETH, we are developing our own many-core system called MemPool [[#ref-Cavalcante2020|&#91;1&#93;]], [[#ref-Riedel2021|&#91 ...y rely on cycle-accurate RTL simulation. However, simulation of such a big system is slow, even on the latest commercial simulators. This limits the complexi
    10 KB (1,434 words) - 17:20, 2 August 2021
  • At ETH, we are developing our own many-core system called MemPool. It boasts 256 lightweight 32-bit Snitch cores. They impleme This manycore system with vector support is to be analyzed in terms of the performance improveme
    11 KB (1,609 words) - 10:00, 30 June 2022
  • ...an hardware design at the circuit level of time-encoded SNNs to high-level system simulations in a high-performance computing framework. It also involves int
    3 KB (360 words) - 10:54, 31 August 2021
  • ...This means that their definition could be propagated throughout the memory system to further hide latency, minimize traffic, and improve memory management (p ...ergy, and timing impact of these extensions on a minimal end-to-end memory system (extended core, memory, and any adapters needed) running simple application
    4 KB (557 words) - 16:14, 6 November 2022
  • ...d within a single layer. In order to utilize these results in a real-world system, we need to map the resulting mixed-precision network to an appropriate rep
    3 KB (497 words) - 22:15, 23 November 2022
  • Epilepsy is a central nervous system disorder in which brain activity becomes abnormal, causing seizures or peri ...Mr. Wolf multicore processor on board. The project’s goal is to design a system capable of detecting various artifacts and then indicate to the user that a
    2 KB (313 words) - 15:25, 23 October 2023
  • ...uous operability is of critical importance. An important asset of wireless system is therefore the ability to mitigate attacks by jammers that try to disrupt ...oped in our group. The project will also involve mathematical analysis and system-level simulations. This project requires a solid background in statistics a
    6 KB (687 words) - 13:32, 10 May 2023
  • Epilepsy is a central nervous system disorder in which brain activity becomes abnormal, causing seizures or peri
    4 KB (561 words) - 15:25, 23 October 2023
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (659 words) - 14:08, 15 February 2024
  • ...ature extraction, and since it has been showed that the performance of the system can be largely influenced by this choice, the aim of this project is to do ...representation of the signal to the actual response of the human auditory system. The derivation techniques are described in detail in [[#ref-lyonmfcc|&#91;
    12 KB (1,688 words) - 11:00, 14 November 2022
  • ...ware-constrained devices, expanding the capabilities of a keyword spotting system. ...pervised information. This is possible due to the prior knowledge that the system has over the nature of the data that it is presented with, and it is a spec
    12 KB (1,869 words) - 17:37, 1 September 2023
  • ...e:motivation_sensor.png|200px|thumb|right|Example of remote controlled DAQ system]] ...to remote control the local data acquisition. Regarding energy supply, the system should be designed as a hybrid solution. It will incorporate a battery-powe
    4 KB (467 words) - 12:58, 20 July 2023
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    6 KB (725 words) - 17:36, 20 October 2021
  • ...ltrasound data acquisition from a reduced number of channels. The proposed system architecture is based on an MSP430 MCU, which is equipped with an Ultrasoun [[Category:System Design]]
    3 KB (381 words) - 19:03, 6 December 2023
  • ...magined by the user by means of BCI devices. Once fully functional, such a system would be of immeasurable value in the design of, e.g., motorized prostheses
    8 KB (1,101 words) - 20:04, 10 March 2024
  • ...ttern information such as loop bounds and strides) ''throughout the memory system''. To this end, we are currently extending the AXI4 [3] memory protocol, us A simple demonstrator system building on your extended IPs could also be built.
    3 KB (431 words) - 16:13, 6 November 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    7 KB (933 words) - 19:29, 21 November 2021
  • ...mbedded systems going through the full stack of hardware design, operating system and hacking the compiler. I'm an avid free software contributor, GNU/Linux
    931 bytes (108 words) - 10:30, 22 November 2021
  • ...ludes them from being used in a portable system. Furthermore, surveillance system typically do not come with any localized intelligence, so their recorded da In this project, a novel, distributed and energy-efficient surveillance system will be brought up and optimized by the student.
    3 KB (433 words) - 15:36, 4 August 2022
  • ...] and the floating-point repetition (FREP) hardware loop, which allows the system to achieve FPU utilization above 90%. ...ave been historically integrated into the PULP cluster, but a Snitch-based system would greatly benefit by supporting these hardware modules.
    4 KB (567 words) - 13:57, 7 September 2022
  • ...out a slightly smaller version of Manticore, called Occamy, a two-chiplet system in the near future. Snitch-based architectures are built around the minimal
    4 KB (613 words) - 10:13, 2 November 2022
  • ...ct the activity of the individual functional units and therefore the whole system. They are usually employed to profile applications performance and resource ...xplore.ieee.org/abstract/document/845896 A survey of design techniques for system-level dynamic power management]</div>
    5 KB (688 words) - 13:51, 27 October 2022
  • ...ormation on the structure of musculoskeletal tissues, organs, and vascular system. Recent research trends include the development of wearable US probes, with : 30% system development
    3 KB (336 words) - 19:03, 6 December 2023
  • ...on primitives used in FreeRTOS [4] [5], an open-source real-time operating system (RTOS) used by Amazon, and determine how they use critical sections. Turn t
    4 KB (508 words) - 18:59, 10 January 2022
  • * '''Research''': Investigate how Linux interacts with a system-level DMA and collect a set of minimal requirements for the hardware.
    2 KB (244 words) - 12:12, 21 June 2022
  • ...ical instant''' at which these results are produced. In fact, a real-time system changes its state as a function of physical time. ...controlled object'' (the ''controlled cluster''), the ''real-time computer system'' (the ''computational cluster'') and the ''human operator'' (the ''operato
    4 KB (518 words) - 09:54, 10 January 2022
  • ...Evaluate the performance of your kernels''' in RTL simulations of a Snitch system
    4 KB (554 words) - 09:49, 17 August 2022
  • ...ly. For all of these purposes, we heavily use ''Git'' as a version control system at IIS. If you have no previous experience with Git, we ''strongly'' advise
    10 KB (1,428 words) - 13:31, 27 October 2022
  • ...to fit our needs, and evaluate their performance benefits of the resulting system. A main goal of this thesis is to create a Snitch-based system which is suitable for the type of embedded/edge applications targeted by th
    6 KB (770 words) - 14:19, 15 September 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    7 KB (804 words) - 19:45, 21 November 2021
  • ...ower domain, voltage, clock and sensor management through a shared mailbox system with the PCS. [1] [https://developer.arm.com/documentation/den0056/latest Arm System Control and Management Interface]
    3 KB (467 words) - 13:55, 12 October 2022
  • <!-- Peripheral Event Linking System For Real-Time Capable Energy-Efficient SoCs (M/1-2S) --> ...unities are limited due to the necessity to retain major parts of the main system memory due to the use of static random access memory (SRAM) for code execut
    8 KB (1,127 words) - 19:54, 1 March 2023
  • [[File:Mcs.png|thumb|350px| A Mixed Criticality System (MCS).]] ...-time computer system is a computer system in which the correctness of the system behavior depends not only on the '''logical results''' of the computations,
    5 KB (614 words) - 09:49, 15 January 2024
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (520 words) - 14:52, 24 November 2021
  • ...ists of an analog front-​end, a data converter, a wireless communication system and a wireless power receiver. ...ze the noise efficiency of the LNA and reduce the power consumption of the system. At the same time, novel wireless power receiver structures should be explo
    3 KB (381 words) - 19:19, 25 November 2021
  • ...tware malfunction, the WDT will not be properly serviced, resulting in the system returning to its original state.
    2 KB (337 words) - 08:49, 21 June 2022
  • ...deploy the relevant workloads on FPGA/ASIC, architecture exploration using system simulation. To summarize my interests in a few keywords * System Simulation
    1 KB (194 words) - 16:59, 12 December 2023
  • Epilepsy is a central nervous system disorder in which brain activity becomes abnormal, causing seizures or peri ...implementing a self-aware machine learning model to be used in a wearable system for real-time detection of epileptic seizures.
    2 KB (298 words) - 15:25, 23 October 2023
  • ...Mr. Wolf multicore processor on board. The project’s goal is to design a system capable of acquiring reliable EEG signals during motion, transmitting them
    3 KB (369 words) - 15:04, 20 July 2023
  • ...ding environment, for example external master devices interacting with the system through I/O peripheral interfaces. This means that the underlying HW has to
    4 KB (515 words) - 15:06, 5 August 2022
  • ...ization capabilities to the cluster of ControlPULP, allowing the operating system to schedule several accelerator tasks and let them run concurrently. This a * Evaluate the resulting system in RTL simulation and/or on the FPGA, for which a mature implementation of
    6 KB (835 words) - 16:27, 7 July 2023
  • [[Category:Biomedical System on Chips]]
    4 KB (535 words) - 16:56, 12 July 2022
  • : 25% System assembly design : 25% embedded system programming
    3 KB (410 words) - 19:02, 6 December 2023
  • : 25% System assembly design : 25% embedded system programming
    3 KB (420 words) - 19:01, 6 December 2023
  • ...with the obstacles. Furthermore, to further extend the capabilities of the system, you will have to implement a path planning solution that optimally drives
    4 KB (604 words) - 14:07, 10 March 2022
  • ...ability of signal spikes being captured by sequential elements, taking the system into a faulty state. While some fault tolerance schemes utilize Triple Modu
    2 KB (311 words) - 08:49, 21 June 2022
  • ...t. This new approach will be simulated in MATLAB for a mmWave massive MIMO system and the optimal number format parameters are chosen. Then, a matrix-vector The ideas of this project can be extended to other system blocks such as the beamspace FFT, so that these blocks generate their outpu
    5 KB (662 words) - 17:14, 26 September 2023
  • ...li. The project also includes MATLAB simulations for a mmWave massive MIMO system with channels generated by QuadRiGa [8] or from a commercial raytracing cha
    5 KB (771 words) - 16:32, 8 February 2022
  • ...y QuadRiGa [8] or from a commercial ray-tracing simulator, we will explore system-level aspects such as the possibility of reusing the equalization matrix ac
    6 KB (843 words) - 17:16, 26 September 2023
  • ...with the goal of verifying the effectiveness and practicability of such a system, which will answer the question of whether reliable UAV detection is indeed : 20% System-level simulation
    5 KB (564 words) - 16:12, 9 February 2022
  • : 20% System-level simulation [[Category:System on Chips for IoTs]]
    5 KB (586 words) - 16:15, 9 February 2022
  • [[File:ToFDrone_dpalossi.png|thumb|right|500px|Overview of the cyber-physical system.]] ...latform is the Bitcraze Crazyflie 2.1 [4] extended by a powerful multicore System-on-Chip (SoC), the parallel ultra-low power (PULP) GAP8 [5] aboard the AI-d
    4 KB (550 words) - 21:25, 15 February 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    7 KB (831 words) - 19:36, 12 January 2023
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    6 KB (839 words) - 14:08, 15 February 2024
  • '''Multiprocessor System-on-Chip (MPSoCs)''' are getting more popular in the domain of '''Critical R ...g CPU overload with respect to single-core applications, improving overall system’s performance [2].
    6 KB (869 words) - 14:47, 7 July 2023
  • ...ormation on the structure of musculoskeletal tissues, organs, and vascular system. The velocity of liquid flows (as for arteries and veins) can be measured b Preliminary results of custom syringe pump system:
    3 KB (363 words) - 17:18, 3 May 2024
  • : 50% Asynchronous VLSI design with System Verilog
    1 KB (138 words) - 13:34, 25 May 2022
  • ...n efficient hardware architecture. The HDL implementation can be done with System Verilog. Then a synthesis must be carried out as well as the backend routin
    990 bytes (143 words) - 14:36, 25 May 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (503 words) - 13:54, 30 May 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (470 words) - 18:16, 27 May 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    4 KB (492 words) - 10:55, 16 June 2022
  • ...is to simulate interband cascade lasers based on the InAs-GaInSb material system with an in-house quantum transport solver called OMEN and to determine thei
    3 KB (365 words) - 10:19, 31 May 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (662 words) - 13:33, 10 May 2023
  • ...ept of the existing ULP probe, we aim to replace the BLE link with a Spark system. To this end, the student will start working with evaluation boards, replac
    3 KB (366 words) - 15:10, 23 October 2023
  • The power consumption of a system usually is the most difficult figure of merit to acquire, as it usually has
    2 KB (282 words) - 09:27, 3 November 2023
  • .... So far we have verified the unit's correctness using a simple file-based System-Verilog testbench. * Simulates a more realistic memory system (multiple memories, complex latency pattern, reordering, ...)
    2 KB (226 words) - 14:22, 27 February 2024
  • At ETH, we developed our own many-core system called MemPool. It boasts 256 lightweight 32-bit Snitch cores. They impleme ...d to integrate an FLL, a boot ROM, and a JTAG to access and initialize the system. While there are many IPs and know-how at IIS for that, this is also highly
    8 KB (1,239 words) - 12:36, 29 January 2024
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (586 words) - 15:34, 11 July 2022
  • ...to run on a novel ultra-low-power processor, such as the PULP Kraken [3,4] System-on-Chip (SoC). At the same time, the candidate will also work on the hardwa
    4 KB (505 words) - 18:25, 26 July 2022
  • .... So far we have verified the unit's correctness using a simple file-based System-Verilog testbench.
    2 KB (272 words) - 10:21, 3 November 2023
  • ...osition unit that transposes matrices while they are copied throughout the system. The accelerator should work of full-precision integer and floating point f
    2 KB (214 words) - 09:39, 23 August 2023
  • ...l). Among these, Zephyr OS [1] is a promising scalable real-time operating system with small memory footprint designed for resource-constrained systems follo ...required to be able to track and set the operating point of the controlled system in a workload-aware manner.
    3 KB (483 words) - 15:28, 19 February 2024
  • ...required to be able to track and set the operating point of the controlled system in a workload-aware manner. Currently, we support FreeRTOS [5] in ControlPULP as real-time operating system using our custom compiler toolchain based on GCC [3] which supports various
    3 KB (438 words) - 16:51, 5 August 2022
  • [https://www.rust-lang.org/ Rust] is a new, system-level general-purpose programming language fully compatible with C, incorpo * Interest in low-level programming of a manycore system
    2 KB (311 words) - 14:14, 29 June 2023
  • At ETH, we are developing our own many-core system called MemPool [[#ref-Cavalcante2020|&#91;2&#93;]], [[#ref-Riedel2021|&#91;
    4 KB (497 words) - 14:15, 29 June 2023
  • WP3: System Development and HW Extension (8 weeks, November-December) System and method for an optimized Winograd convolution accelerator
    4 KB (549 words) - 11:35, 3 November 2023
  • [[Category:Computer and System Architecture]] ...controller called x-heep, which shares most of the IPs with the ETH's PULP system.
    8 KB (1,304 words) - 14:44, 23 October 2023
  • * Strong interest system design and hardware/software interaction
    3 KB (416 words) - 10:49, 25 January 2024
  • * Experience with the System Verilog language, VLSI 1
    2 KB (308 words) - 14:30, 8 March 2023
  • ...ormation on the structure of musculoskeletal tissues, organs, and vascular system. Recent research trends include the development of wearable US probes, with [[Category:System Design]]
    3 KB (354 words) - 19:02, 6 December 2023
  • ...ed Hardware Accelerator to achieve the next 10x in Energy Efficiency: Full System Integration (2S,1M) --> ...ople.ee.ethz.ch/~janniss/projects/Maddness_system_integration.pdf Maddness System Intergration]
    6 KB (846 words) - 16:50, 3 November 2022
  • ...ed Hardware Accelerator to achieve the next 10x in Energy Efficiency: Full System Integration (2S,1M) -->
    6 KB (823 words) - 16:32, 3 November 2022
  • [[Category:System on Chips for IoTs]] [[Category:Biomedical System on Chips]]
    5 KB (577 words) - 09:48, 5 October 2022
  • * Empirically, by running more complex benchmarks and programs on the Ara system.
    5 KB (665 words) - 14:19, 18 October 2022
  • ...e runs only in bare-metal mode and is not designed to support an Operating System. This is a shame since the scalar RV64GC core CVA6 does support it! ...s for easy porting of many external programs and drastically increases the system's usability.
    5 KB (769 words) - 11:38, 3 November 2023
  • ...er(s) with the transcript of their respective speech. Lastly, the proposed system must abide by the TinyML[[#ref-reddi2020|&#91;5&#93;]] constraints consider
    11 KB (1,467 words) - 14:15, 1 March 2024
  • Occamy is a massively-parallel multiprocessor system-on-chip (MPSoC) designed for energy-efficient high-performance computing (H
    7 KB (944 words) - 10:47, 25 January 2024
  • ...L1 data-memory. Leveraging its hierarchical architecture, we can scale the system to TeraPool, a cluster of 1024 Snitch cores, having 4096 banks of shared me
    3 KB (490 words) - 10:38, 2 November 2023
  • ...ame room. As access to the room will be limited during the experiment, the system should be designed to allow us to sample as much data as possible during th * 30% System design
    3 KB (454 words) - 14:59, 25 October 2023
  • * to have a synthesizable fully configurable memory system. * to monitor key figures of merit online and in-system.
    2 KB (335 words) - 13:58, 27 October 2022
  • <!-- AXI-based Network on Chip (NoC) system --> ...ould be to build a system with a mesh NoC and a couple of cores and do the system integration for a potential tapeout. For the verification, low-level softwa
    2 KB (252 words) - 14:43, 23 October 2023
  • ...L1 data-memory. Leveraging its hierarchical architecture, we can scale the system to TeraPool, a cluster of 1024 Snitch cores, having 4096 banks of shared me
    3 KB (460 words) - 18:54, 9 November 2022
  • ...logy of Stockholm in 2022 and pursuing a Ph.D. in the Digital Circuits and System group of Luca Benini. * Memory System
    1,021 bytes (116 words) - 16:17, 6 November 2022
  • ...chmark, optimize, and improve your testbenches simulating a large manycore system running real machine learning workloads (or booting Linux!). * 20% Benchmark and optimize the simulation speed of a large manycore system.
    2 KB (290 words) - 09:38, 3 November 2023
  • * 30% Verification and evaluation OOC and in-system
    2 KB (249 words) - 09:36, 3 November 2023
  • ...ce the model's memory footprint and open new opportunities to increase the system's energy efficiency.
    2 KB (307 words) - 18:20, 3 November 2023
  • ...rsity Singapore in 2017. I have worked at MediaTek Inc. and Cadence Design System focusing on the physical design of high-performance SoCs.
    1 KB (211 words) - 15:20, 31 October 2023

View (previous 500 | next 500) (20 | 50 | 100 | 250 | 500)